我们的挑战第一季:电器英语

来源:百度文库 编辑:中财网 时间:2024/05/06 17:22:11

1 backplane 背板
2 Band gap voltage reference 带隙电压参考
3 benchtop supply 工作台电源
4 Block Diagram 方块图
5 Bode Plot 波特图
6 Bootstrap 自举
7 Bottom FET Bottom FET
8 bucket capcitor 桶形电容
9 chassis 机架
10 Combi-sense Combi-sense
11 constant current source 恒流源
12 Core Sataration 铁芯饱和
13 crossover frequency 交叉频率
14 current ripple 纹波电流
15 Cycle by Cycle 逐周期
16 cycle skipping 周期跳步
17 Dead Time 死区时间
18 DIE Temperature 核心温度
19 Disable 非使能,无效,禁用,关断
20 dominant pole 主极点
21 Enable 使能,有效,启用
22 ESD Rating ESD额定值
23 Evaluation Board 评估板
24 Exceeding the specifications below may result in permanent damage to the device, or device malfunction. Operation outside of the parameters specified in the Electrical Characteristics section is not implied. 超过下面的规格使用可能引起永久的设备损害或设备故障。建议不要工作在电特性表规定的参数范围以外。
25 Failling edge 下降沿
26 figure of merit 品质因数
27 float charge voltage 浮充电压
28 flyback power stage 反驰式功率级
29 forward voltage drop 前向压降
30 free-running 自由运行
31 Freewheel diode 续流二极管
32 Full load 满负载
33 gate drive 栅极驱动
34 gate drive stage 栅极驱动级
35 gerber plot Gerber 图
36 ground plane 接地层
37 Henry 电感单位:亨利
38 Human Body Model 人体模式
39 Hysteresis 滞回
40 inrush current 涌入电流
41 Inverting 反相
42 jittery 抖动
43 Junction 结点
44 Kelvin connection 开尔文连接
45 Lead Frame 引脚框架
46 Lead Free 无铅
47 level-shift 电平移动
48 Line regulation 电源调整率
49 load regulation 负载调整率
50 Lot Number 批号
51 Low Dropout 低压差
52 Miller 密勒
53 node 节点
54 Non-Inverting 非反相
55 novel 新颖的
56 off state 关断状态
57 Operating supply voltage 电源工作电压
58 out drive stage 输出驱动级
59 Out of Phase 异相
60 Part Number 产品型号
61 pass transistor pass transistor
62 P-channel MOSFET P沟道MOSFET
63 Phase margin 相位裕度
64 Phase Node 开关节点
65 portable electronics 便携式电子设备
66 power down 掉电
67 Power Good 电源正常
68 Power Groud 功率地
69 Power Save Mode 节电模式
70 Power up 上电
71 pull down 下拉
72 pull up 上拉
73 Pulse by Pulse 逐脉冲(Pulse by Pulse)
74 push pull converter 推挽转换器
75 ramp down 斜降
76 ramp up 斜升
77 redundant diode 冗余二极管
78 resistive divider 电阻分压器
79 ringing 振 铃
80 ripple current 纹波电流
81 rising edge 上升沿
82 sense resistor 检测电阻
83 Sequenced Power Supplys 序列电源
84 shoot-through 直通,同时导通
85 stray inductances. 杂散电感
86 sub-circuit 子电路
87 substrate 基板
88 Telecom 电信
89 Thermal Information 热性能信息
90 thermal slug 散热片
91 Threshold 阈值
92 timing resistor 振荡电阻
93 Top FET Top FET
94 Trace 线路,走线,引线
95 Transfer function 传递函数
96 Trip Point 跳变点
97 turns ratio 匝数比,=Np / Ns。(初级匝数/次级匝数)
98 Under Voltage Lock Out (UVLO) 欠压锁定
99 Voltage Reference 电压参考
100 voltage-second product 伏秒积
101 zero-pole frequency compensation 零极点频率补偿
102 beat frequency 拍频
103 one shots 单击电路
104 scaling 缩放
105 ESR 等效串联电阻
106 Ground 地电位
107 trimmed bandgap 平衡带隙
108 dropout voltage 压差
109 large bulk capacitance 大容量电容
110 circuit breaker 断路器
111 charge pump 电荷泵
112 overshoot 过冲1) 元件设备
三绕组变压器:three-column transformer ThrClnTrans
双绕组变压器:double-column transformer DblClmnTrans
电容器:Capacitor
并联电容器:shunt capacitor
电抗器:Reactor
母线:Busbar
输电线:TransmissionLine
发电厂:power plant
断路器:Breaker
刀闸(隔离开关):Isolator
分接头:tap
电动机:motor
(2) 状态参数
有功:active power
无功:reactive power
电流:current
容量:capacity
电压:voltage
档位:tap position
有功损耗:reactive loss
无功损耗:active loss
功率因数:power-factor
功率:power
功角:power-angle
电压等级:voltage grade
空载损耗:no-load loss
铁损:iron loss
铜损:copper loss
空载电流:no-load current 阻抗:impedance
正序阻抗:positive sequence impedance
负序阻抗:negative sequence impedance
零序阻抗:zero sequence impedance
电阻:resistor
电抗:reactance
电导:conductance
电纳:susceptance
无功负载:reactive load 或者QLoad
有功负载: active load PLoad
遥测:YC(telemetering)
遥信:YX
励磁电流(转子电流):magnetizing current
定子:stator
功角:power-angle
上限:upper limit
下限:lower limit
并列的:apposable
高压: high voltage
低压:low voltage
中压:middle voltage 电力系统 power system
发电机 generator
励磁 excitation
励磁器 excitor
电压 voltage
电流 current
母线 bus
变压器 transformer
升压变压器 step-up transformer
高压侧 high side
输电系统 power transmission system
输电线 transmission line
固定串联电容补偿fixed series capacitor compensation
稳定 stability
电压稳定 voltage stability
功角稳定 angle stability
暂态稳定 transient stability
电厂 power plant
能量输送 power transfer
交流 AC
装机容量 installed capacity
电网 power system
落点 drop point
开关站 switch station
双回同杆并架 double-circuit lines on the same tower
变电站 transformer substation
补偿度 degree of compensation
高抗 high voltage shunt reactor
无功补偿 reactive power compensation
故障 fault
调节 regulation
裕度 magin
三相故障 three phase fault
故障切除时间 fault clearing time
极限切除时间 critical clearing time
切机 generator triping
高顶值 high limited value
强行励磁 reinforced excitation
线路补偿器 LDC(line drop compensation)
机端 generator terminal
静态 static (state)
动态 dynamic (state)
单机无穷大系统 one machine - infinity bus system
机端电压控制 ***R
电抗 reactance
电阻 resistance
功角 power angle
有功(功率) active power
无功(功率) reactive power
功率因数 power factor
无功电流 reactive current
下降特性 droop characteristics
斜率 slope
额定 rating
变比 ratio
参考值 reference value
电压互感器 PT
分接头 tap
下降率 droop rate
仿真分析 simulation analysis
传递函数 transfer function
框图 block diagram
受端 receive-side
裕度 margin
同步 synchronization
失去同步 loss of synchronization
阻尼 damping
摇摆 swing
保护断路器 circuit breaker 电阻:resistance
电抗:reactance
阻抗:impedance 电导:conductance
电纳:susceptance
导纳:admittance 电感:inductance
电容: capacitanceprinted circuit 印制电路
printed wiring 印制线路
printed board 印制板
printed circuit board 印制板电路
printed wiring board 印制线路板
printed component 印制元件
printed contact 印制接点
printed board assembly 印制板装配
board 板
rigid printed board 刚性印制板
flexible printed circuit 挠性印制电路
flexible printed wiring 挠性印制线路
flush printed board 齐平印制板
metal core printed board 金属芯印制板
metal base printed board 金属基印制板
mulit-wiring printed board 多重布线印制板
molded circuit board 模塑电路板
discrete wiring board 散线印制板
micro wire board 微线印制板
buile-up printed board 积层印制板
surface laminar circuit 表面层合电路板
B2it printed board 埋入凸块连印制板
chip on board 载芯片板
buried resistance board 埋电阻板
mother board 母板
daughter board 子板
backplane 背板
bare board 裸板
copper-invar-copper board 键盘板夹心板
dynamic flex board 动态挠性板
static flex board 静态挠性板
break-away planel 可断拼板
cable 电缆
flexible flat cable (FFC) 挠性扁平电缆
membrane switch 薄膜开关
hybrid circuit 混合电路
thick film 厚膜
thick film circuit 厚膜电路
thin film 薄膜
thin film hybrid circuit 薄膜混合电路
interconnection 互连
conductor trace line 导线
flush conductor 齐平导线
transmission line 传输线
crossover 跨交
edge-board contact 板边插头
stiffener 增强板
substrate 基底
real estate 基板面
conductor side 导线面
component side 元件面
solder side 焊接面
printing 印制
grid 网格
pattern 图形
conductive pattern 导电图形
non-conductive pattern 非导电图形
legend 字符
mark 标志
base material 基材
laminate 层压板
metal-clad bade material 覆金属箔基材
copper-clad laminate (CCL) 覆铜箔层压板
composite laminate 复合层压板
thin laminate 薄层压板
basis material 基体材料
prepreg 预浸材料
bonding sheet 粘结片
preimpregnated bonding sheer 预浸粘结片
epoxy glass substrate 环氧玻璃基板
mass lamination panel 预制内层覆箔板
core material 内层芯板
bonding layer 粘结层
film adhesive 粘结膜
unsupported adhesive film 无支撑胶粘剂膜
cover layer (cover lay) 覆盖层
stiffener material 增强板材
copper-clad surface 铜箔面
foil removal surface 去铜箔面
unclad laminate surface 层压板面
base film surface 基膜面
adhesive faec 胶粘剂面
plate finish 原始光洁面
matt finish 粗面
length wise direction 纵向
cross wise direction 模向
cut to size panel 剪切板
ultra thin laminate 超薄型层压板
A-stage resin A阶树脂
B-stage resin B阶树脂
C-stage resin C阶树脂
epoxy resin 环氧树脂
phenolic resin 酚醛树脂
polyester resin 聚酯树脂
polyimide resin 聚酰亚胺树脂
bismaleimide-triazine resin 双马来酰亚胺三嗪树脂
acrylic resin 丙烯酸树脂
melamine formaldehyde resin 三聚氰胺甲醛树脂
polyfunctional epoxy resin 多官能环氧树脂
brominated epoxy resin 溴化环氧树脂
epoxy novolac 环氧酚醛
fluroresin 氟树脂
silicone resin 硅树脂
silane 硅烷 polymer 聚合物
amorphous polymer 无定形聚合物
crystalline polamer 结晶现象
dimorphism 双晶现象
copolymer 共聚物
synthetic 合成树脂
thermosetting resin 热固性树脂
thermoplastic resin 热塑性树脂
photosensitive resin 感光性树脂
epoxy value 环氧值
dicyandiamide 双氰胺
binder 粘结剂
adesive 胶粘剂
curing agent 固化剂
flame retardant 阻燃剂
opaquer 遮光剂
plasticizers 增塑剂
unsatuiated polyester 不饱和聚酯
polyester 聚酯薄膜
polyimide film (PI) 聚酰亚胺薄膜
polytetrafluoetylene (PTFE) 聚四氟乙烯
reinforcing material 增强材料
glass fiber 玻璃纤维
E-glass fibre E玻璃纤维
D-glass fibre D玻璃纤维
S-glass fibre S玻璃纤维
glass fabric 玻璃布
non-woven fabric 非织布
glass mats 玻璃纤维垫
yarn 纱线
filament 单丝
strand 绞股
weft yarn 纬纱
warp yarn 经纱
denier 但尼尔
warp-wise 经向
thread count 织物经纬密度
weave structure 织物组织
plain structure 平纹组织
grey fabric 坏布
woven scrim 稀松织物
bow of weave 弓纬
end missing 断经
mis-picks 缺纬
bias 纬斜
crease 折痕
waviness 云织
fish eye 鱼眼
feather length 毛圈长
mark 厚薄段
split 裂缝
twist of yarn 捻度
size content 浸润剂含量
size residue 浸润剂残留量
finish level 处理剂含量
size 浸润剂
couplint agent 偶联剂
finished fabric 处理织物
polyarmide fiber 聚酰胺纤维
aromatic polyamide paper 聚芳酰胺纤维纸
breaking length 断裂长
height of capillary rise 吸水高度
wet strength retention 湿强度保留率
whitenness 白度 ceramics 陶瓷
conductive foil 导电箔
copper foil 铜箔
rolled copper foil 压延铜箔
annealed copper foil 退火铜箔
thin copper foil 薄铜箔
adhesive coated foil 涂胶铜箔
resin coated copper foil 涂胶脂铜箔
composite metallic material 复合金属箔
carrier foil 载体箔
invar 殷瓦
foil profile 箔(剖面)轮廓
shiny side  光面
matte side  粗糙面
treated side  处理面
stain proofing  防锈处理
double treated foil  双面处理铜箔
shematic diagram 原理图
logic diagram 逻辑图
printed wire layout 印制线路布设
master drawing 布设总图
computer aided drawing 计算机辅助制图
computer controlled display 计算机控制显示
placement 布局
routing 布线
layout 布图设计
rerouting 重布
simulation 模拟
logic simulation 逻辑模拟
circit simulation 电路模拟
timing simulation 时序模拟
modularization 模块化
layout effeciency 布线完成率
MDF databse 机器描述格式数据库
design database 设计数据库
design origin 设计原点
optimization (design) 优化(设计)
predominant axis 供设计优化坐标轴
table origin 表格原点
mirroring 镜像
drive file 驱动文件
intermediate file 中间文件
manufacturing documentation 制造文件
queue support database 队列支撑数据库
component positioning 元件安置
graphics dispaly 图形显示
scaling factor 比例因子
scan filling 扫描填充
rectangle filling 矩形填充
region filling 填充域
physical design 实体设计
logic design 逻辑设计
logic circuit 逻辑电路
hierarchical design 层次设计
top-down design 自顶向下设计
bottom-up design 自底向上设计
net 线网
digitzing 数字化
design rule checking 设计规则检查
router (CAD) 走(布)线器
net list 网络表
subnet 子线网
objective function 目标函数
post design processing (PDP) 设计后处理
interactive drawing design 交互式制图设计
cost metrix 费用矩阵
engineering drawing 工程图
block diagram 方块框图
moze 迷宫
component density 元件密度
traveling salesman problem 回售货员问题
degrees freedom 自由度
out going degree 入度
incoming degree 出度
manhatton distance 曼哈顿距离
euclidean distance 欧几里德距离
network 网络
array 阵列
segment 段
logic 逻辑
logic design automation 逻辑设计自动化
separated time 分线
separated layer 分层
definite sequence 定顺序
conduction (track) 导线(通道)
conductor width 导线(体)宽度
conductor spacing 导线距离
conductor layer 导线层
conductor line/space 导线宽度/间距
conductor layer No.1 第一导线层
round pad 圆形盘
square pad 方形盘
diamond pad 菱形盘
oblong pad 长方形焊盘
bullet pad 子弹形盘
teardrop pad 泪滴盘
snowman pad 雪人盘
V-shaped pad V形盘
annular pad 环形盘
non-circular pad 非圆形盘
isolation pad 隔离盘
monfunctional pad 非功能连接盘
offset land 偏置连接盘
back-bard land 腹(背)裸盘
anchoring spaur 盘址
land pattern 连接盘图形
land grid array 连接盘网格阵列
annular ring 孔环
component hole 元件孔
mounting hole 安装孔
supported hole 支撑孔
unsupported hole 非支撑孔
via 导通孔
plated through hole (PTH) 镀通孔
access hole 余隙孔
blind via (hole) 盲孔
buried via hole 埋孔
buried blind via 埋,盲孔
any layer inner via hole 任意层内部导通孔
all drilled hole 全部钻孔
toaling hole 定位孔
landless hole 无连接盘孔
interstitial hole 中间孔
landless via hole 无连接盘导通孔
pilot hole 引导孔
terminal clearomee hole 端接全隙孔
dimensioned hole 准尺寸孔
via-in-pad 在连接盘中导通孔
hole location 孔位
hole density 孔密度
hole pattern 孔图
drill drawing 钻孔图
assembly drawing 装配图
datum referan 参考基准Absorber Circuit           吸收电路
AC/AC Frequency Converter    交交变频电路
AC power control       交流电力控制
AC Power Controller      交流调功电路
AC Power Electronic Switch    交流电力电子开关
Ac Voltage Controller       交流调压电路
Asynchronous Modulation       异步调制
Baker Clamping Circuit       贝克箝位电路    
Bi-directional Triode Thyristor   双向晶闸管
Bipolar Junction Transistor-- BJT  双极结型晶体管
Boost-Buck Chopper         升降压斩波电路
Boost  Chopper          升压斩波电路
Boost Converter          升压变换器
Bridge Reversible Chopper     桥式可逆斩波电路
Buck Chopper           降压斩波电路
Buck Converter          降压变换器 
     
  Commutation                      换流
Conduction  Angle                  导通角
Constant Voltage Constant Frequency --CVCF    恒压恒频
Continuous Conduction--CCM              (电流)连续模式
Control Circuit                 控制电路
Cuk Circuit                   CUK 斩波电路
Current Reversible  Chopper        电流可逆斩波电路
Current Source Type Inverter--CSTI    电流(源)型逆变电路
Cycloconvertor                  周波变流器
DC-AC-DC  Converter           直交直电路
DC Chopping                直流斩波
DC Chopping Circuit          直流斩波电路
DC-DC Converter            直流-直流变换器  Device Commutation     器件换流
Direct Current Control     直接电流控制
Discontinuous Conduction mode    (电流)断续模式
displacement factor     位移因数
distortion power         畸变功率
double end converter    双端电路
driving circuit       驱动电路
electrical isolation    电气隔离
fast acting fuse        快速熔断器
fast recovery diode      快恢复二极管
fast revcovery epitaxial diodes    快恢复外延二极管
fast switching thyristor        快速晶闸管
field controlled  thyristor      场控晶闸管
flyback converter         反激电流
forced commutation         强迫换流
forward converter            正激电路
frequency converter         变频器
full bridge converter        全桥电路
full bridge rectifier       全桥整流电路
full wave rectifier         全波整流电路
fundamental factor        基波因数
  gate  turn-off thyristor——GTO    可关断晶闸管
general purpose diode               普通二极管
giant transistor——GTR            电力晶体管
half bridge converter              半桥电路
hard switching                     硬开关
high voltage IC                    高压集成电路
hysteresis comparison              带环比较方式
indirect current control           间接电流控制
indirect DC-DC converter             直接电流变换电路
insulated-gate bipolar transistor---IGBT       绝缘栅双极晶体管
intelligent power module---IPM               智能功率模块
integrated gate-commutated thyristor---IGCT    集成门极换流晶闸管
inversion                             逆变
latching effect                        擎住效应
leakage inductance                     漏感
light triggered thyristo---LTT         光控晶闸管
line commutation                       电网换流
load commutation                       负载换流
loop current                            环流ADM Add Drop Multiplexer 分插复用器
利用时隙交换实现宽带管理,即允许两个STM-N信号之间的不同VC实现互连,并且具有无需分接和终结整体信号,即可将各种G.703规定的接口信号(PDH)或STM-N信号(SDH)接入STM-M(M>N)内作任何支路。 AON Active Optical Network 有源光网络
有源光网络属于一点对多点的光通信系统,由ONU、光远程终端OLT和光纤传输线路组成。APON ATM Passive Optical Network ATM无源光网络
一种结合ATM 多业务多比特率支持能力和无源光网络透明宽带传送能力的理想长远解决方案,代表了面向21 世纪的宽带接入技术的最新发展方向。ADSL Asymmetric Digital Subscriber Line 非对称数字用户线
非对称数字用户线系统ADSL是一种采用离散多频音DMT线路码的数字用户线DSL系统。AA Adaptive Antenna 自适应天线
一种天线提供直接指向目标的波束,比如移动电话的天线,能够随目标移动自动调整功率等因素,也称为智能天线(SMART ANTENNA)。 ADPCM Adaptive Differential Pulse Code Modulation 自适应脉冲编码调制
一种编码技术,将模拟采样的比特数从8位降低到3到4位,完成传输信号的压缩,ITU-T推荐 G.721 为32位ADPCM定义了一种算法(每秒8000次采样,每次采样采4比特),与传统PCM编码相比,它的传输容量加倍。 ADFE Automatic Decree Feedback Equalizer自适应判决反馈均衡器
一种利用判决后的信号作为后向抽头的输入信号,可以消除噪声对后向抽头信号的影响的均衡器技术。 AMI Alternate Mark Inversion 信号交替反转码
一种数字传输中常用的编码技术,逻辑0由空电平表示,而逻辑1由交替反转的正负电压表示。 AON All Optical Net 全光网
就是网中直到端用户节点之间的信号通道仍然保持着光的形式,即端到端的全光路,中间没有光电转换器。这样,网内光信号的流动就没有光电转换的障碍,信息传递过程无需面对电子器件处理信息速率难以提高的困难。 AOWC All Optical Wave Converter 全光波长转换器
是指不经过电域处理,直接把信息从一个光波长转换到另一个波长的器件。ASK Amplitude Shift Keying 振幅键控
一种键控技术,对应二进制调制信号,承载信号在开启和关闭之间切换,也就是常说的 ON-OFF键控。 ATPC Automatic Transfer Power Control自动发信功率控制
技术的要点是微波发信机的输出功率在ATPC控制范围内自动跟踪接手段接收电平的变化而变化。它的优点有可减少对相邻系统的干扰、减少上衰减问题、减低直流功率消耗、改善剩余误码特性、在衰落条件下使输出功率额外增加2dB。 AWF All Wave Fiber 全波光纤
消除了光纤1383nm的水峰,这样就在1350-1450nm波段能增加120多个新的波长(间隔100GHZ)。对于城市接入网的用户十分有利。 AU Administrative Unit 管理单元
提供高阶通道层和复用段层之间适配功能的信息结构. AUG Administrative Unit Group 管理单元组
由一个或多个在STM-N净负荷中占据固定位置的、确定位置的管理单元组成。 APD Avalanche Diode 雪崩光电二极管
利用雪崩倍增效应使光电流得到倍增的高灵敏度的探测器。 BA Booster(power) Amplifier 光功率放大器
可补偿光复用器的损耗,提高入纤功率的光放大器。 BBER Background Block Error Ratio 背景误块比
对于一个确定的测试时间而言,在可用时间出现的BBE数与扣除不可用时间和SES期间所有块数的总块数之比。 BR Basic Rate Access 基本速率接入 ITU-T定义为窄带ISDN的一种接口速率,也称为2B+D,B信道64K为承载信道,D信道16K为数字信令信道。 Bluetooth 蓝牙
(一种无线局域网)标准 由设备制造商联合制定的一种覆盖范围10M,工作频段在2.4G,传输速蚀笤?M的无线局域网标准C Band C波带
即工作波长在1525~1560nm范围内,带宽约35nm。 Chirp 啁啾
当单纵模激光器工作于直接调制时,注入电流的变化会引起载流子密度的变化,进而使有源区的折射率指数发生变化,结果使激光器谐振腔的光路径长度随之变化,从而导致振荡波长随时间漂移。一般需要采用外调制技术克服。 C Container C 容器
装载各种速率业务信号的信息结构,表示为C-n(11,12,2,3,4),我国仅涉及C-12,C-3,C-4。容器的基本功能是完成适配,即码速调整。 CSMA/CD Carrier Sense Multiple Access with Collision Detection 载波侦听多址接入/碰撞检测协议
一种应用于有线局域网的多址接入技术。 CSMA/CA Carrier Sense Multiple Access with Collision Avoidance 载波侦听多址接入/避免冲撞协议
由于无线产品不易检测信道是否存在冲突,因此802.11定义了一种新的协议,即(CSMA/CA)。一方面,载波侦听--查看信道是否空闲;另一方面,避免冲撞--信道不空闲时,通过随机的时间等待,直到有新的空闲信道出现时再优先发送,使信号冲突发生的概率减到最小。不仅如此,为了系统更加稳固,802.11还提供了带确认帧ACK的CSMA/CA。在一旦遭受其他噪声干扰,或者由于侦听失败时,信号冲突就有可能发生,而这种工作于MAC层的ACK此时能够提供快速的恢复能力。 CNR Carrier to Noise Ratio 载噪比
在没有经过任何调制之前,载波电平与噪声电平之比。也作C/N。 CP Cross polarization 交叉极化
两个天线系统用相同的频率但一个使用水平极化而另一个使用垂直极化,提高频谱利用率。 DCF Dispersion Compensating Fiber色散补偿单模光纤
具有大的负色散光纤,这类光纤是针对已敷设的1310nm设计的一种新型的光纤。在G.652光纤中加入一定的色散补偿光纤,进行色散补偿,以保证整条光纤线路的总的色散进似为零。DFF Dispersion-flattened Fiber色散平坦光纤
将从1.3um到1.55um的较宽波段的色散,都能作到很低,几乎达到零色散的光纤。 DR Diversity Receiver 分集接收 分集接收就是将相关性较小的(即同时发生质量恶化的)两路以上的收信机输出进行选择或合成,来减轻由衰落所造成的影响的一种措施。具体又可以分为空间分集、频率分集、极化分集、角度分集等不同的方式。 DPT Dynamic Packet Transport动态包传输技术
这是Cisco公司提出的一种全新的传输方法-IP优化的光学传输技术。这种技术提供了带宽使用的高效率、服务类别的丰富性以及网络的高级自愈功能。 ODM Optical Division ltiplexer 光分用器
把多个波长分用到各根光纤中,使信道分离。 DSF Dispersion-Shifted Fiber 色散移位光纤
称为1550nm性能最佳单模光纤,这种光纤通过设计光纤折射率剖面,使零色散移到1550nm窗口,从而与光纤的最小率减窗口获得匹配,使超高速超长距离的传输成为可能。 DTM Dynamic Synchronous Transfer Mode 动态同步传送模式
一种基于高速电路交换和动态时隙分配的新技术。作为第二层的交换/传输技术,DTM具有更强的带宽管理能力,适应光纤带宽的不断扩展。 DWDM Dense Wavelength Division Multiplexing 密集波分复用
同一个低损耗窗口的多个光波复用,相对于不同低损耗窗口的光波复用的粗波分复用而言。 DLC Digital loop carrier 数字环路载波
有源光网络,适用于用户比较密集的地区 DXC Digital cross connect equipment 数字交叉连接器
具有一个或多个准同步数字体系(G.702)或同数字体系(G.707)信号端口的,可以在任何端口信号速率(及其子速率)间进行可控连接和再连接的设备。 EA Electricity Absorb Modulation电吸收调制器
损耗调制器,工作在调制器材料吸收区波长处,当调制器无偏压时,该波长处处于通状态。随着调制器上偏压的增加,原来的波长处吸收系数变大,调制器成为断状态,调制器的通断状态即为光强度调制。 EB Error Block 误块
在SDH网络中对于高比特率通道的误码性能是以"块",即通道中传送的连续比特的集合。当块内的任意比特发生差错时,就称该块是误块。 ECC Embedded Control Channel 嵌入控制通路
传递网管信息的嵌入式控制通路,其物理通道是DCC,采用ITU-T G.784要求的七层协议栈。 EDFA Erbium-doped Fiber Amplifier 掺铒光纤放大器 制作光纤时,采用特殊工艺,在光纤芯层沉积中掺入极小浓度的铒离子,制作出相应的掺铒光纤。光纤中掺杂离子在受到泵浦光激励后跃迁到亚稳定的高激发态,在信号光诱导下,产生受激辐射,形成对信号光的相干放大。EDFA工作在1550窗口。已商用的EDFA噪声低,增益曲线好,放大器带宽大,与波分复用(WDM)系统兼容,泵浦效率高,工作性能稳定,技术成熟,在现代长途高速光通信系统中备受青睐。目前,"掺铒光纤放大器(EDFA)+密集波分复用(DWDM)+非零色散光纤(NZDF)+光子集成(PIC)"正成为国际上长途高速光纤通信线路的主要技术方向。 EDFL Erbium-doped Fiber Laser掺铒光纤激光器
光纤激光器的一种,其出射光波长落在1550nm窗口,由掺饵光纤和光泵以及其他相关光路元件,如波长选择器,偏振控制器,输入/输出耦合器等组成光板,具有低阈值,及与光纤通信系统兼容等优点。特别是可调谐环形EDFL具有调谐范围大,输出功率高,成为可调谐激光器的主流,其主要类型有抛光型可调谐WDM器件型,DFB型,光纤双折射调谐型,压电调谐光纤F-P标准具型等。EDFL适用于大容量长距离光纤通信和WDM系统。 ES Errored Second 误块秒
当某1秒具有一个或多个误块时,就称该秒为误块秒. ESR Errored Second Ratio 误块秒比
对于一个确定的测试时间而言,在可用时间出现的ES数与总秒数之比。 FEC Forward Error Correction 前向纠错
是一种数据编码技术,传输中检错由接收方进行验证,如果有错则通知发送方重发。它允许从低比特误码的编码数据中重新编码构成一列无误码数据流。 FWM Four-wave Mixing 四波混频
四波混频(FWM)亦称四声子混合,是在因不同波长的两三个光波互作用而导致在其它波长上产生所谓混频产物或边带的新光波的情况下发生的。这些光会影响正常的通信。这种非线性光学效应称为四波混频。 FDMA Frequency Division Multiple Access 频分多址
将通信系统的总频段划分成为若干个等间隔的频道(或称信道),将频道再分配给不同的用户使用。这些频道互不交叠。 FTTB Fiber to the Building 光纤到大楼 ONU置于大楼
FTTC Fiber to the Curb 光纤到路边 ONU置于路边 FTTH Fiber to the Home 光纤到户 ONU置于家中 FA Frequency agility 频率捷变
指发射系统能够根据外部条件改变而自动跳频去适应环境的能力。 CSMF Common Single Mode Fiber 单模光纤
满足ITU-T.G.652要求的单模光纤,常称为非色散位移光纤,其零色散位于1.3um窗口低损耗区,工作波长为1310nm(损耗为0.36dB/km)。我国已敷设的光纤光缆绝大多数是这类光纤。随着光纤光缆工业和半导体激光技术的成功推进,光纤线路的工作波长可转移到更低损耗(0.22dB/km)的1550nm光纤窗口。 DSF Dispersion-Shifted Fiber 色散位移光纤
满足ITU-T.G.653要求的单模光纤,其零色散波长移位到损耗极低的1550nm处。这种光纤在有些国家,特别在日本被推广使用,我国京九干线上也有所采纳。美国AT&T早期发现DSF的严重不足,在1550nm附近低色散区存在有害的四波混频等光纤非线性效应,阻碍光纤放大器在1550nm窗口的应用,因此未获得广泛的应用。 GE Gigabit Ethernet 千兆以太网技术
千兆以太网标准是1997年10月才正式推出的,最高传输速率为1Gbps,与以太网技术、快速以太网技术向下兼容。 GIF Graded Index Fiber 渐变型多模光纤
光线以正弦形状传播,带宽可达1-2GHz.km,多用于一些速率不太高的局域网。 GS-EDFA Gain Shifted Erbium-doped Fiber Amplifier增益平移掺饵光纤放大器
通过控制掺饵光纤的粒子数反转程度,放大1570~1600nm波段,它与普通的EDFA组合起来可以得到带宽约80nm的宽带放大器。 GVD Group Velocity Dispersion 群速度色散
在高速大容量的光纤通信中,由于光纤介质表现出非线性,光脉冲包络的形状会发生变化,这种影响光信号接收的变化就称为群速度色散,群速度色散会引起传输波形的展宽。 G.654 截止波长位移单模光纤 这类光纤设计考虑的重点是降低1550nm的率减,其零色散点任在1310nm附近,因而1550nm的色散较高,可大于18ps/(nm.km),必须配用单纵模激光器才能消除色散的影响。主要用于很长再生段距离的海底通信光纤通信。HPF High Pass Filter 高通滤波器
一种允许超过某一特定频率的电波几乎没有衰减地通过的滤波器,而其他低于这个频段的电波被严重衰减。 HRDS Hypothetical Reference Digital Section 假设参考数字段
即为具有一定长度和性能规范的程度模型,可用作指标分配的参考模型。对于SDH数字段,有420km,280km和50km三种长度。IDLC Integrated DLC 综合数字环路载波
宽带有源光网络,即综合数字环路载波系统(IDLC)是以SDH或PDH为传输平台,针对集中用户区可提供PSTN、ISDN、B-ISDN、DDN、LANE、因特网和数字视频等业务的接入,也是宽带综合接入的理想方式,有较大的发展潜力 IDEN Integrated Digital Enhanced Networks 数字集群调度专网 iDEN系统于1994年在美国洛杉矶问世,是由摩托罗拉公司提出的一种数字集群制式,工作于800MHz频段范围,经过约三年的推广,相继在北美、南美及亚洲十三个国家投入商业应用。它的主要特点是可以和GSM兼容,适合组大网,更符合PAMR应用。 IEEE 802.3
CSMA/CD局域网,即以太网标准。 IEEE 802.11
无线局域网标准 97年颁布的无线局域网技术标准,IEEE802.11规范定义了三种物理层(PHY)选择:红外线、直接序列扩频(DSSS)、跳频扩频(FHSS)。由于无线局域网传输介质(微波、红外线)同有线介质大不相同,客观上存在一些全新的技术难题,为此,IEEE802.11协议规定了一些至关重要的技术机制如CSMA/CA协议、RTS/CTS协议等。99年8月,802.11标准得到了进一步的完善和修订,另外还增加了两项新内容802.11a和802.11b,它们扩充了标准的物理层及MAC层的一些规定。 Jitter 抖动 SDH光传输网络重要传输特性之一,其定义为数字信号各有效瞬间相对于理论规定时间位置的短期偏离。K Band K波段,10G-12G,用于卫星通信。 Ku Band Ku波段,12G-14G,用于多卫星通信LA Line Amplifier 光线路放大器
中继线路上补偿光纤损耗的光放大器。 LEAF Large Effective Area Fiber 大有效面积光纤
单模非零色散位移光纤,工作在 1550nm窗口;与标准的非零色散位移光纤相比,具有较大的"有效面积", 有效 面积增大至72um2以上,因而较大的功率承受能力,适于使用高输出功率掺饵光纤放大器,即EDFA和密集波分复用技术的网络之用。 LANE LAN Emulation 局域网仿真 ATM交换与以太网进行交换时,需要对ATM信元所做的一种仿真处理。LMDS Local Multipoint Distribution Service 本地多点分配业务
非常流行的一种利用了扩频与极化技术的宽带无线接入系统,基站覆盖大约2-10KM,能提供高达4.8G的带宽。适合与用户密集地区的无线接入。 LOF Loss of Frame 帧丢失
当帧失步状态持续3ms后,SDH设备应进入帧丢失状态;而当STM-N信号连续处于定帧状态至少1ms后,SDH设备应退出帧丢失状态。 LOS Loss of Signal 光信号丢失 MI Modulation Instability调制不稳定性
调制不稳定性瞬断一个连续波(CW)信号或一个脉冲,使它们成为一个调制的形状。一个准单色信号会自发地产生两个对称的频率边带。这一现象可能在零色散波长以上的区域观测到。 MLCM Multi-Level Coded Modulation 多电平编码调制
一种复杂的编码调制方法,可以视为删除型网格编码64QAM方式。其设计思想与TCM相同,即将纠错码所产生的冗余度引到那些最易出错的符号之间,以最大限度地利用编码冗余度。 MMF Multi Mode Fiber 多模光纤
在所考虑的波长上能传播两个以上模式的光纤。 MMDS Multichannel Multipoint Distribution Service 多信道多点分配业务
通常称为无线电缆,通常用无线系统来传输图象业务。 MVDS Multipoint Video Distribution Service 多点视频分配业务
UK开发的一种无线本地环路技术,运行在40.5G到42.5G频率,与LMDS非常相似,但主要应用于视频点播业务。 MQAM Quadrature Amplitude Modulation 多进制正交幅度调制
多进制正交幅度调制是在中、大容量数字微波通信系统中大量使用的一种载波控制方式。这种方式具有很高的频谱利用率,在调制进制数较高时,信号矢量集的分布也较合理,同时实现起来也较方便。目前在SDH数字微波、LMDS等大容量数字微波通信系统中广泛使用的64QAM、128QAM等均属于这种调制方式。 MSOH Multiplex Section Overhead 复用段开销
负责管理复用段 ,只能在终端设备接入。 MSP Multiplexer Section Protection 复用段保护
SDH光纤通信的一种保护方法,保护的业务量是以复用段为基础的,倒换与否按每一节点间复用段信号的优劣而定。当复用段出现故障时,整个节点间的复用段业务信号都转向保护段。 MZ Mach-Zehnder 马赫曾德尔调制器
该调制器将输入光分成两路相等的信号分别进入调制器的两个光支路。这两个光支路采用的材料是电光性材料,其折射率随外部施加的电信号大小而变化。由于光支路的折射率变化会导致信号相位的变化,当两个支路信号调制器输出端再次结合在一起时,合成的光信号将是一个强度大小变化的干涉信号,相当于把电信号的变化转换成了光信号的变化,实现了光强度的调制。NA Numerical Aperture 数值孔径
表示光纤接收和传输光的能力,NA越大,光纤接收光的能力越强,从光源到光纤的耦合效率越高。 NC Network Connection 网络连接
网络连接由子网连接和/或链路连接级联而成,并可看作是这个复杂实体的抽象代表。它可以透明地在层网络上进行端到端的信息传递,由终端连接点(TCP)定界。 NEL Network Element Layer 网元层
最基本的管理层,负责单个网元的配置、故障、性能等管理。 NML Network Management Layer 网络管理层
管理、监视、控制所辖区域协调不同厂家的网元。 NE Network Element 网络单元
构成网络的基本单元。 NZDSF Non Zero Dispersion Shifted Fiber非零色散位移光纤
满足ITU-T.G.655要求的单模光纤,属于色散位移光纤,不过在1550nm处色散不是零值(按ITU-T.G.655规定,在波长1530-1565nm范围对应的色散值为0.1-6.0ps/nm.km),用以平衡四波混频等非线性效应。商品光纤有如AT&T的TrueWave光纤,Corning的SMF-LS光纤(其零色散波长典型值为1567.5nm,零色散典型值为0.07ps/nm2.km)以及Corning的LEAF光纤。我国的"大保实"光纤等。 NNI Network Node Interface 网络节点接口
既可以是只有复用功能的简单节点,也可以是具有传输、复用、交叉连接和交换功能的复杂节点。OADM Optical Add Drop Multiplexe 光波分插复用器
其功能是从传输设备中有选择地下路通往本地的光信号,同时上路本地用户发往另一节点用户的光信号,而不影响其他波长信道的传输,也就是说OADM在光域内实现了传统的SDH设备中的电分插复用器在时域中的功能。 OA&M Operations , Administration and Maintenance 操作、管理和维护
一组关于网络性能监视、失败检测和系统故障诊断和保护的网络管理功能。 OFA Optical Fiber Amplifier光纤放大器
是指运用于光纤通信线路中,实现信号放大的一种新型全光放大器。根据它在光纤线路中的位置和作用,一般分为中继放大、前置放大和功率放大三种。 ODN Optical Distribution Network 光配线网 光分配网络,由无源光器件组成 OAN Optical Access Network 光接入网
基于光传输的接入网技术 OBD Optical Branching Device 光分路器
无源光功率分配器(耦合器),将下行信号进行功率分配,将上行信号进行耦合 OLT Optical Line Terminal 光线路终端
提供网络侧与本地交换机之间的接口,并且连接1个或多个ODN/ODT,与用户侧的ONU通信。 ONU Optical Network Unit 光网络单元
为挂光接入网提供用户侧接口,并与1个ODN/ODT相连。 OFS Out of Frame Second 帧失步秒
具有1个或多个OOF事件出现的秒称为OFS。 OM Optical Multiplex光复用
把多个波长复用到一根光纤里传输。 OMSP Optical Multiplex Section Protect 光复用段保护
这种技术是只在光路上进行1+1保护,而不对终端设备进行保护。在发端和收端分别使用1×2光分路器或光开关,在发送端对合路的光信号进行分离,在接收端对光信号进行选路。光复用段保护只有在独立的两条光缆中实施才有实际意义。 OOF Out of Frame 帧失步
当输入的比特流中的帧定位字节的位置不能确知时,就认为STM-N信号处于失布状态。 OSC Optical Supervisory Channel 监控信道
完成网管、公务电话及其他信息的传输功能。使用一个单独的波长进行传输,其波长1510nm。 O-SNCP Optical Subnetwork Connection Protection 光子网连接保护
基于光通道层的1+1保护,即俗称的双发选收保护功能。 OSNR Optical Signal to Noise Ratio 光信噪比
光信噪比的定义是在光有效带宽为0.1nm内光信号功率和噪声功率的比值。光信号的功率一般取峰峰值,而噪声的功率一般取两相临通路的中间点的功率电平。光信噪比是一个十分重要的参数,对估算和测量系统有重大意义。 OTDM Optical Time Division Multiplexing 光时分复用
指在光域内内进行时分(解)复用。复用通常是利用平面波导延迟线阵列(或平面光波电路PLC)或者高速光开关来实现;而全光时域解复用器则常常基于四波混频(FWM)或非线性光纤环行镜(NOLM)等。 OTDR Optical Time Domain Reflectmeter 光时域反射器
通过对光传输信号在光缆中回传的散射信号进行分析,判断光缆状况,是光缆维护所必须的设备. OTN Optical Transmission Net 光传送网
光传送网是基于DWDM技术,采用OADM,OXC等网元连接点到点波分复用设备。对传输码率、数据格式及调制方式透明,可以传送不同码率的ATM、SDH/Sonet和千兆以太网式的业务。 OMN Optical Transport Network 光传送网管理网
光传送网的管理系统,是TMN的一个子网。 OTU Optical Transform Unit 光转化单元
把来自SDH的光信号转换为满足波分复用系统要求的光信号。 OXC Optical Cross Connect 光交叉连接
是光网络最重要的网络元件,主要完成光通道的交叉连接功能和本地上下路功能在光传送层,通过迂回路由波长(Rerouting wavelength),在网络中形成大带宽的重新分配。当光缆断开时,光传送层起网络恢复(Restoration)的作用。 PA Pre Amplifier 光前置放大器
提高接收电平,提高接收机灵敏度。 PMR Private Mobile Radio 专用集群移动通信网
是指某个专业部门,如公安、军队、水利、铁路等部门独立建设、仅供自己使用的集群移 动通信系统。 PON Passive Optical Network 无源光网络
无源光网络主要采用无源光功率分配器(耦合器)将信息送至各用户。由于采用了光功率分配器,使功率降低,因此较适合于短距离使用。 PAMR Public Access Mobile Radio 共用调度集群移动通信网
是指多个专业部门共用频率、共用设备、共享覆盖区,共同分担费用,集中管理和维护的 移动通信网,它可作为一个虚拟的通信平台供各专业部门使用。 Payload 净负荷
是真正用于电信业务的比特,存放了可用于通道维护管理的通道开销。 PCM Pulse Code Modulation 脉码调制
将模拟信号的抽样量化值变换成代码。 PDH Plesiochronous Digital Hierarchy 准同步数字体系
主要是为语音通信设计,没有世界性统一的标准数字信号速率和帧结构,国际互连互通困难。 PJE Pointer Justification Count 指针调整事件
表示指针的I比特或D比特反转并拌有指针值增减的事件。 PMD Polarisation Mode Dispersion 偏振模色散
指单模光纤中偏振色散,起因于实际的单模光纤中基模含有两个相互垂直的偏振模,沿光纤传播过程中,由于光纤难免受到外部的作用,如温度和压力等因素变化或扰动,使得两模式发生耦合,并且它们的传播速度也不尽相同,从而导致光脉冲展宽,展宽量也不确定,便相当于随机的色散。随着传输速率的提高,该色散对通信系统的影响愈来愈明,而且越来越不可低估。有文献给出由PMD限制的系统最大距离按公式:L最大值=1000/(PMD.比特率)2,式中:L单位为(根号)km,PMD单位为PS/KM,以及比特率单位为Gb/s。国际上一些标准组织,如IEC、TIA和ITU考虑制定这种随机性色散的统计特性和相应的测试方法。 POS Packet Over SDH POS是一种网络的技术模型,其网络主要由大容量的高端路由器经由高速光纤传输通道连接而成。 POH Path Overhead 通道开销
在STM-N净负荷区域内的用于通道维护管理的通道开销字节。 PON Passive Optical Network 无源光网络
无源光网络主要采用无源光功率分配器(耦合器)将信息送至各用户。由于采用了光功率分配器,使功率降低,因此较适合于短距离使用。 PRC Primary Resource Clock 基准主时钟
高精度、高稳度时钟,该时钟经同步分配网分配给下面的各级时钟。 QOS Quality of Service 服务质量
通过给定的虚连接描述传输质量的ATM性能参数术语。这些参数包括:CTD、CDV、CER、CLR、CMR和SECBR 、ALL service classes 、Qos Classes、 traffic contract 、traffic control。Raman Effect 喇曼效应
指往某物质中射人频率f的单色光时,在散射光中会出现频率f之外的f±fR, f±2fR等频率的散射光,对此现象称喇曼效应。 REG Regenerator 再生器
传输线路上的再生、中继设备, 用于克服光通路中对信号损伤的累积如色散引起的波形畸变。REG可分为1R、2R、3R三种类型。 RSOH Regenerator Section Overhead 再生段开销
负责管理再生段,在再生器和终端设备接入。 Radio channel 无线电信道
无线电波道。用于无线电通信的足够宽的频带。 RTS/CTS Request to Send/ Clear to Send 请求发送/允许发送协议
相当于一种握手协议,主要用来提高传送大容量文件时的效率。IEEE802.11提供了如下解决方案:在参数配置中,若使用RTS/CTS协议,接入点和用户站适配器同时设置传送上限字节数。一旦待传送的数据大于此上限值时,即启动RTS/CTS握手协议。 3R Regenration ,Reshaping ,Retiming 再生、重整形和重定时时
再生保证每条连接的输出功率点平足以到达下一个节点。重整形消出色散等因素产生的脉冲失真。重定时消除数字脉冲的时域失真,以使下行时钟恢复电路能准确地接收信号。3R消除了光信号在各子网中累积产生的畸变。SBS Stimulated Brillouin Scattering 受激布里渊散射
当注入光纤的信号光功率超过一定数值时,将有很强的前向传输信号光转化为后向传输。SRS和介质宏观弹性性质有关。 SCP Service Control Point 业务控制点
用于SS7的术语,为了提供快速可靠的服务,一个SCP通常是指包含大型数据库的一台计算机或先进的交换机。 SD Signal Degrade 信号劣化
指信号已经劣化至超过预定限值的情况。 SDH Synchronous Digital Hierarchy 同步数字传输体系
是一套可进行同步信息传输、复用、分插和交叉连接的标准化数字信号结构等级,在传输媒质上(如光纤、微波等)进行同步信号的传送。 SHR Self-healing Hybrid Ring SDH自愈环
SDH网络可在极短的时间内从失效、故障中自动恢复所携带的业务,无需人的干预,使用户感觉不到网络已出现了故障。 SDM Space Division Multiplex 空分复用
采用两根光纤,单工工作方式,工作波长限定在1310nm区。 SDXC Synchronous Digital Cross Connector 同步数字交叉连接器
适用于SDH的DXC,则能进一步在端口间提供可控的VC透明连接和再连接。 SES Severely Errored Second 严重误块秒
当某1秒内包含有不少于30%的误块或者至少一种缺陷时,就认为该秒为严重的误块秒。 SESR Severely Errored Second 严重误块秒比
对于一个确定的测试时间而言,在可用时间出现的SES数与总秒数之比。 SIF Step Index Fiber 突变型多模光纤
光线以曲折形状传播在光纤中传播,脉冲信号畸变大,带宽只有10MHz.km,通常用于短距离传输。 SMF Single Mode Fibre 单模光纤
在所考虑的波长上只能传播一个模式的光纤。 SMN SDH Management Network 同步数字体系管理网
即管理SDH网元的TMN的子集。 SMS SDH Management Subnetwork SDH管理子网
由一系列分离的ECC及有关站内数据通信链路组成,并构成整个TMN的有机部分。多个SMS组成SMN。 Soliton 光孤子
在离散的非线性介质中脉冲包络,在一定的条件上,该包络不仅无畸变地传输,而且具有象粒子一样的特性。利用光孤子的奇异特性,可以实现光孤子通信。 STM Synchronous transfer mode 同步传送模块
SDH 标准化的信息结构等级,称为同步传送模块,其中最基本的模块为STM-1,速率是155Mb/s。 SNC Subnetwork Connection 子网连接
跨越子网的连接称为子网连接。它可以将信息透明地子网上进行传递,它可由子网边界定界。 SNI Service Network Interface 业务节点接口
是无线本地环路系统与交换机之间的接口,它是一数字接口,在功能上应满足PSTN的接入需要。该接口在雾里上课采用双绞线、同轴电缆、微波或光纤来实现。 SOH Section Overhead 段开销
SDH帧结构中为保证信息正常、灵活、有效地传送所必须附加的字节。它的主要作用是用于OAM&P。 SONET Synchronous Optical Network 同步光网络
SDH的北美对应称谓,它由一整套分等级的标准数字传送结构组成,适于各种经适配处理的净负荷在物理媒质上进行传送。 SPM Self-healing Network 自相位调制
信号光强度的瞬时变化引起其自身的相位调制。这种效应叫做自相位调制。 SRS Stimulated Raman Scattering 受激拉曼散射
受激喇曼散射是和光与硅原子振动模式间相互作用有关的宽带效应。受激喇曼散射使得信号波长就象是更长波长信号通道或者自发散射的喇曼位移光的一个喇曼泵。在任何情况下,短波长的信号总是被这种过程所衰减,同时长波长信号得到增强。 SRP S pace Recycle Protocol 空间再利用协议
SRP是一个独立的MAC层协议,在环的配置中用于激活DPT功能;通过SRP-fa和环上控制信息的 传播,SRP MAC层提供了寻址、包丢弃和带宽管理等基本功能。 SRS Simulated Raman Scattering 受激喇曼散射
是一种非弹性散射,光波的频率要降低或光子的能量减少。SRS和介质光学性质有关。 SPM Self-phase Modulation 自相位调制
在相干光通信系统中,光纤折射率与输入光功率有关,这会导致光脉冲的光谱大大地展宽。 TETRA Trans European Trunked Radio TETRA系统是一种同时用于PMR和PAMR的全新开放式数字集群标准,于1995年由ETSI正式确定,被很多欧洲厂商广泛采用,主要工作于400MHz频段,800MHz系统目前只有Maconi推出了商用产品。 TCM Trellis Coded Modulation 网格编码调制
一种高级的编码调制方法,它充分利用卷积编码中所产生的冗余度和维特比解码的记忆效应,使编码器和调制器级联后产生的编码信号序列具有最大的欧氏自由距离,而它的理想解码方式应采用维特比算法实现。 TDM Time Division Multiplexing 时分复用
是采用交错排列多路低速模拟或数字信道到一个高速信道上传输的技术。 TM Terminal Multiplexing 终端复用器
低速支路电信号和155Mb/s电信号纳入STM-1帧结构,并经电/光装换为STM-1光线路信号,其逆过正好相反。 TWF True Wave Fiber 真波光纤 零色散点在1530nm以下的短波长区。在1530~1565nm的光放大区,色散系数在1.3~5.8ps/nm.km。系统工作在光纤的色散"正区",在这一区域,自相位调制效应SPM可以压缩脉冲宽度,从而有利于减轻色散的压力。 TU Tributary Unit 支路单元
提供低阶通道层和高阶通道层之间适配功能的信息结构。 TUG Tributary Unit Group 支路单元组
由一个或多个在高阶VC净负荷中占据固定的、确定位置的支路单元组成。 VC Virtual Container 虚容器
用来支持SDH通道层连接的信息结构,是SDH通道的信息终端。虚容器的包封速率与SDH网络同步,即不同VC是同步的。Wander 漂动
低于10Hz的相位变化称为漂动 WDM Wavelength Division Multiplexing 波分复用
把不同波长的光信号复用到一根光纤中进行传送(每个波长承载一个TDM 电信号)的方式统称为波分复用。 WLL Wireless Local Loop 无线本地环路
专为接入网设计的无线接入方式,采用的频率一般在1.8GHz,800MHz,450MHz,甚至还有150MHz,视地区的频谱安排而定。有安装速度快、安装灵活方便、建设投资省、维护费用低、安全性。Analog 模拟
A/D Analog to Digital 模-数转换
AAC Advanced Audio Coding 高级音频编码
ABB Automatic Black Balance 自动黑平衡
ABC American Broadcasting Company 美国广播公司
Automatic Bass Compensation 自动低音补偿
Automatic Brightness Control 自动亮度控制
ABL Automatic Black Level 自动黑电平
ABLC Automatic Brightness Limiter Circuit 自动亮度限制电路
ABU Asian Broadcasting Union 亚洲广播联盟
ABS American Bureau of Standard 美国标准局
AC Access Conditions 接入条件
Audio Center 音频中心
ACA Adjacent Channel Attenuation 邻频道衰减
ACC Automatic Centering Control 自动中心控制
Automatic Chroma Control 自动色度(增益控制)
ACK Automatic Chroma Killer 自动消色器
ACP Additive Colour Process 加色法
ACS Access Control System 接入控制系统
Advanced Communication Service 高级通信业务
Area Communication System 区域通信系统
ADC Analog to Digital Converter 模-数转换器
Automatic Degaussirng Circuit 自动消磁电路
ADL Acoustic Delay Line 声延迟线
ADS Audio Distribution System 音频分配系统
AE Audio Erasing 音频(声音擦除)
AEF Automatic Editing Function 自动编辑功能
AES Audio Engineering Society 音频工程协会
AF Audio Frequency 音频
AFA Audio Frequency Amplifier 音频放大器
AFC Automatic Frequency Coder 音频编码器
Automatic Frequency Control 自动频率控制
AFT Automatic Fine Tuning 自动微调
Automatic Frequency Track 自动频率跟踪
Automatic Frequency Trim 自动额率微调
AGC Automatic Gain Control 自动增益控制
AI Artificial Intelligence 人工智能
ALM Audio-Level Meter 音频电平表
AM Amplitude Modulation 调幅
AMS Automatic Music Sensor 自动音乐传感装置
ANC Automatic Noise Canceller 自动噪声消除器
ANT ANTenna 天线
AO Analog Output 模拟输出
APS Automatic Program Search 自动节目搜索
APPS Automatic Program Pause System 自动节目暂停系统
APSS Automatic Program Search System 自动节目搜索系统
AR Audio Response 音频响应
ARC Automatic Remote Control 自动遥控
ASCII American Standard Code for Information Interchange 美国信息交换标准
AST Automatic Scanning Tracking 自动扫描跟踪
ATC Automatic Timing Control 自动定时控制
 Automatic Tone Correction 自动音频校正
ATM Asynchronous Transfer Mode 异步传输模式
ATF Automatic Track Finding 自动寻迹
ATS Automatic Test System 自动测试系统
ATSC Advanced Television Systems Committee 美国高级电视制式委员会
AVC Automatic Volume Control 自动音量控制
AVR Automatic Voltage Regulator 自动稳压器
AWB Automatic White Balance 自动白平衡
AZC Automatic Zooming Control 自动变焦控制
AZS  Automatic Zero Setting 自动调零
BA Branch Amplifier 分支放大器
Buffer Amplifier 缓冲放大器
BAC Binary-Analog Conversion 二进制模拟转换
BB Black Burst 黑场信号
BBC British Broadcasting Corporation 英国广播公司
BBI Beijing Broadcasting Institute 北京广播学院
BC Binary Code 二进制码
Balanced Current 平衡电流
Broadcast Control 广播控制
BCT Bandwidth Compression Technique 带宽压缩技术
BDB Bi-directional Data Bus 双向数据总线
BER Basic Encoding Rules 基本编码规则
Bit Error Rate 比特误码率
BF Burst Flag 色同步旗脉冲
BFA Bare Fiber Adapter 裸光纤适配器
Brillouin Fiber Amplifier 布里渊光纤放大器
BGM Background Music 背景音乐
BIOS Basic Input/Output System 基本输入输出系统
B-ISDN Broadband-ISDN 宽带综合业务数据网
BIU Basic Information Unit 基本信息单元
Bus Interface Unit 总线接口单元
BM Bi-phase Modulation 双相调制
BML Business Management Layer 商务管理层
BN Backbone Network 主干网
BNT Broadband Network Termination 宽带网络终端设备
BO Bus Out 总线输出
BPG Basic Pulse Generator 基准脉冲发生器
BPS Band Pitch Shift 分频段变调节器
BSI British Standard Institute 英国标准学会
BSS Broadcast Satellite Service 广播卫星业务
BT Block Terminal 分线盒、分组终端
British Telecom 英国电信
BTA Broadband Terminal Adapter 宽带终端适配器
Broadcasting Technology Association 广播技术协会
BTL Balanced Transformer-Less 桥式推挽放大电路
BTS Broadcast Technical Standard 广播技术标准
BTU Basic Transmission Unit 基本传输单元
BVU Broadcasting Video Unit 广播视频型(一种3/4英寸带录像机记录格式)
BW BandWidth 带宽
BWTV Black and White Television 黑白电视
CA Conditional Access 条件接收
CAC Conditional Access Control 条件接收控制
CAL  Continuity Accept Limit 连续性接受极限
CAS Conditional Access System 条件接收系统
Conditional Access Sub-system 条件接收子系统
CATV Cable Television 有线电视,电缆电视
 Community Antenna Television 共用天线电视
CAV Constant Angular Velocity 恒角速度
CBC Canadian Broadcasting Corporation 加拿大广播公司
CBS Columbia Broadcasting System 美国哥伦比亚广播公司
CC Concentric Cable 同轴电缆
CCG Chinese Character Generator 中文字幕发生器
CCIR International Radio Consultative Committee 国际无线电咨询委员会
CCITT International Telegraph and Telephone Consultative Committee 国际电话电报咨询委员会
CCR  Central Control Room 中心控制室
CCTV China Central Television 中国中央电视台
Close-Circuit Television 闭路电视
CCS Center Central System 中心控制系统
CCU Camera Control Unit 摄像机控制器
CCW Counter Clock-Wise 反时针方向
CD Compact Disc 激光唱片
CDA Current Dumping Amplifier 电流放大器
CD-E Compact Disc Erasable 可抹式激光唱片
CDFM  Compact Disc File Manager 光盘文件管理(程序
CDPG Compact-Disc Plus Graphic 带有静止图像的CD唱盘
CD-ROM Compact Disc-Read Only Memory 只读式紧凑光盘
CETV China Educational Television 中国教育电视台
CF Color Framing 彩色成帧
CGA Color Graphics Adapter 彩色图形(显示卡)
CI Common Interface 通用接口
CIE Chinese Institute of Electronics 中国电子学会
CII China Information Infrastructure 中国信息基础设施
CIF Common Intermediate Format 通用中间格式
CIS Chinese Industrial Standard 中国工业标准
CLV Constant Linear Velocity 恒定线速度
CM Colour Monitor 彩色监视器
CMTS Cable Modem Termination System 线缆调制解调器终端系统
CNR Carrier-to-Noise Ratio 载噪比
CON Console 操纵台
Controller 控制器
CPB Corporation of Public Broadcasting 美国公共广播公司
CPU Central Processing Unit 中央处理单元
CRC Cyclic Redundancy Check 循环冗余校验
CRCC CRI Cyclic Redundancy Check Code 循环冗余校验码
CROM China Radio International 中国国际广播电台
CRT Control Read Only Memory 控制只读存储器
CS Cathode-Ray Tube 阴极射线管
CSC Communication Satellite 通信卫星
CSS Color Sub-carrier 彩色副载波
Center Storage Server 中央存储服务器
Content Scrambling System 内容加扰系统
CSU Channel Service Unit 信道业务单元
CT Color Temperature 色温
CTC Cassette Tape Controller 盒式磁带控制器
Channel Traffic Control 通道通信量控制
Counter Timer Circuit 计数器定时器电路
Counter Timer Control 计数器定时器控制
CTE Cable Termination Equipment 线缆终端设备
Customer Terminal Equipment 用户终端设备
CTV Color Television 彩色电视
CVD China Video Disc 中国数字视盘
CW Carrie Wave 载波DAB Digital Audio Broadcasting 数字音频广播
DASH Digital Audio Stationary Head 数字音频静止磁头
DAT Digital Audio Tape 数字音频磁带
DBMS Data Base Management System 数据库管理系统
DBS Direct Broadcast Satellite 直播卫星
DCC Digital Compact Cassette 数字小型盒带
Dynamic Contrast Control 动态对比度控制
DCT Digital Component Technology 数字分量技术
Discrete Cosine Transform 离散余弦变换
DCTV Digital Color Television 数字彩色电视
DD Direct Drive 直接驱动
DDC Direct Digital Control 直接数字控制
DDE Dynamic Data Exchange 动态数据交换
DDM Data Display Monitor 数据显示监视器
DES Data Elementary Stream 数据基本码流
Data Encryption Standard 数据加密标准
DF Dispersion Flattened 色散平坦(光纤)
DG Differential Gain 微分增益
DI Digital Interface 数字接口
DITEC Digital Television Camera 数字电视摄像机
DL Delay Line 延时线
DLD Dynamic Linear Drive 动态线性驱动
DM Delta Modulation 增量调制
Digital Modulation 数字调制
DMB Digital Multimedia Broadcasting 数字多媒体广播
DMC Dynamic Motion Control 动态控制
DME Digital Multiple Effect 数字多功能特技
DMS Digital Mastering System 数字主系统
DN Data Network 数据网络
DNG Digital News Gathering 数字新闻采集
DNR Digital Noise Reducer 数字式降噪器
DOB Data Output Bus 数据输出总线
DOCSIS  Data Over Cable Service Interface Specifications 有线数据传输业务接口规范
DOC Drop Out Compensation 失落补偿
DOS Disc Operating System 磁盘操作系统
DP Differential Phase 微分相位
Data Pulse 数据脉冲
DPCM Differential Pulse Code Modulation 差值脉冲编码调制
DPL Dolby Pro Logic 杜比定向逻辑
DSB Digital Satellite Broadcasting 数字卫星广播
DSC Digital Studio Control 数字演播室控制
DSD Dolby Surround Digital 杜比数字环绕声
DSE Digital Special Effect 数字特技
DSK Down-Stream Key 下游键
DSP Digital Signal Processing 数字信号处理
Digital Sound Processor 数字声音处理器
DSS Digital Satellite System 数字卫星系统
DT Digital Technique 数字技术
Digital Television 数字电视
Data Terminal 数据终端
Data Transmission 数据传输
DTB Digital Terrestrial Broadcasting 数字地面广播
DTBC Digital Time-Base Corrector 数字时基校正器
DTC Digital Television Camera 数字电视摄像机
DTS Digital Theater System 数字影院系统
Digital Tuning System 数字调谐系统
Digital Television Standard 数字电视标准
DVB Digital Video Broadcasting 数字视频广播
DVC Digital Video Compression 数字视频压缩
DVE Digital Video Effect 数字视频特技
DVS Desktop Video Studio 桌上视频演播(系统
DVTR Digital Video Tape Recorder 数字磁带录像机
EA Extension Amplifier 延长放大器
EB Electron Beam 电子束
EBS Emergency Broadcasting System 紧急广播系统
EBU European Broadcasting Union 欧洲广播联盟
EC Error Correction 误差校正
ECN Emergency Communications Network 应急通信网络
ECS European Communication Satellite 欧洲通信卫星
EDC Error Detection Code 错误检测码
EDE  Electronic Data Exchange 电子数据交换
EDF Erbium-Doped Fiber 掺饵光纤
EDFA Erbium-Doped Fiber Amplifier 掺饵光纤放大器
EDL Edit Decision List 编辑点清单
EDTV Extended Definition Television 扩展清晰度电视
EE Error Excepted 允许误差
EFM Eight to Fourteen Modulation 8-14调制
EFP Electronic Field Production 电子现场节目制作
EH Ethernet Hosts 以太网主机
EIN Equivalent Input Noise 等效输入噪声
EIS Electronic Information System 电子信息系统
EISA Extended Industrial Standard Architecture 扩展工业标准总线
EL Electro-Luminescent 场致发光
EM Error Monitoring 误码监测
EN End Node 末端节点
ENG Electronic News Gathering 电子新闻采集
EOT End of Tape 带尾
EP Edit Point 编辑点
Error Protocol 错误协议
EPG Electronic Program Guides 电子节目指南
EPS Emergency Power Supply 应急电源
ERP Effective Radiated Power 有效辐射功率
ES Elementary Stream 基本码流
End System 终端系统
ESA European Space Agency 欧洲空间局
ETV Education Television 教育电视
FA Enhanced Television 增强电视
FABM FAS Facial Animation 面部动画
FC Fiber Amplifier Booster Module 光纤放大器增强模块
Fiber Access System 光纤接入系统
Frequency Changer 变频器
FCC Fiber Channel 光纤通道
FD Film Composer 电影编辑系统
Federal Communications Commission 联邦通信委员会
FDCT Frequency Divider 分频器
FDDI FDM Fiber Duct 光纤管道
FDP Forward Discrete Cosine Transform 离散余弦正变换
FE Fiber Distributed Data Interface 分布式光纤数据接口
Frequency-Division Multiplexing 频分复用
FF Fiber Distribution Point 光纤分配点
FG Front End 前端
FH Framing Error 成帧误差
FIT Fast Forward 快进
FN Frequency Generator 频率发生器
FOA Frequency Hopping 跳频
FOC Frame-Interline Transfer 帧一行间转移
Fiber Node 光纤节点
Fiber Optic Amplifier 光纤放大器
FOM Fiber Optic Cable 光缆
FON Fiber Optic Communications 光纤通信
FOS Fiber Optic Coupler 光纤耦合器
FOTC Fiber Optic Modem 光纤调制解调器
FS Fiber Optic Net 光纤网
Factor of Safety 安全系数
Fiber Optic Trunk Cable 光缆干线
FT Frame Scan 帧扫描
FTP Frame Store 帧存储器
FTTB Frame Synchro 帧同步机
FTTC France Telecom 法国电信
FTTH FTTN File Transfer Protocol 文件传输协议
FTTO Fiber-To-The-Building 光纤到楼
GA Fiber-To-The-Curb 光纤到路边
GB Fiber-To-The-Home 光纤到家
GFC Fiber-To-The-Node 光纤到节点
GMT Fiber-To-The-Office 光纤到办公室
GND General Average 总平均值
GPC Gain Bandwidth 增益带宽
GPIB Generic Flow Control 一般流量控制
GPS Greenwich Mean Time 格林威治标准时间
Ground 接地
GSM General Purpose Computer 通用接口
GVFS General Purpose Interface Bus 通用接口总线HA Global Positioning Satellite 全球定位卫星
HB Global Positioning System 全球定位系统
HC Global System for Mobile Communication 全球移动通信系统
HCT General Video File Server 通用视频文件服务器
HD Head Amplifier 前置放大器
Head Bus 前端总线
HDM Hierarchical Coding 分层编码
HDTV Home Communication Terminal 家庭通信终端
HDVS High Definition 高清晰度
HF Horizontal Drive 水平驱动(脉冲)
HFC High Density Modulation 高密度调制
HFCT High Definition Television 高清晰度电视
HIS High Definition Video System 高清晰度视频系统
Hi-Fi High Frequency 高频
HPA Hybrid Fiber Coaxial 光纤同轴电缆混合网
HPF HQAD Hybrid Fiber Concentric Twisted Pair Wire 混合光纤同轴双绞线
HS Home Information System 家庭信息系统
HSC High-Fidelity 高保真(度)
High Power Amplifier 大功率放大器
HSDB High-Pass Filter 高通滤波器
HT High Quality Audio Disc 高品位音频光盘
HTT HTTP Horizon Scanner 水平扫描
HTU  High Speed Camera System 高速摄像机系统
IA High Speed Channel 高速信道
IB High Speed Data Broadcast 高速数据广播
High Tension 高压
Home Television Theatre 家庭电视影院
IBC Hyper Text Transmission Protocol 超文本传输协议
Home Terminal Unit 家庭终端单元
Information Access 信息存取
IBG International Broadcasting 国际广播
IC Interface Bus 接口总线
IDCT Internal Bus 内部总线
IF Integrated Broadband Communication 综合宽带通信
IM International Broadcasting Center 国际广播中心
IMTV International Broadcasting Convention (欧洲)国际广播会议
IN Inter Block Gap 字组间隔
INFO INS Integrated Circuit 集成电路
IOCS Inverse Discrete Cosine Transform 离散余弦逆变换
IOD Intermediate Frequency 中频
IP Interface Module 接口模块
Interactive Multimedia Television 交互式多媒体电视
IPC Integrated Network 综合网
IPD Integrated Network Using Fiber Optics 光纤综合网
IPTC Information Network System 信息网络系统
IRD Input-Output Control System 输入/输出控制系统
IS Information On Demand 点播信息
Input Power 输入功率
Internet Protocol 因特网协议
ISA Information Processing Center 信息处理中心
ISAN Interactive Program Directory 交互式节目指南
International Press Telecommunication Council 国际新闻通信委员会
ISO ISRC Integrated Receiver/Decoder 综合接收机/解码器
ISSI Information Superhighway 信息高速公路
IT Interactive Service 交互业务
ITS International Standard 国际标准
Industry Standard Architecture 工业标准总线
Integrated Service Analog Network 综合业务模拟网
ITU International Standard Audiovisual Number 国际标准音视频编号
ITV International Standards Organization 国际标准化组织
International Standard Recording Code 国际标准记录码
IU Inter-Switching System Interface 交换机间系统接口
IVCS Interline Transfer 行间转移
IVDS Insertion Test Signal 插入测试信号
IVOD Intelligent Traffic System 智能交通系统
IVS International Telecommunication Service 国际电信业务
JB International Telecommunications Union 国际电信联盟
JCTA Industrial Television 工业电视
JPEG Interactive Television 交互式电视
JSB Information Unit 信息单元
KB Intelligent Video Conferencing System 智能视频会议系统
LAN Interactive Video Data Service 交互视频数据业务
LBC Interactive Video On Demand 交互点播电视
LC Interactive Video System 交互视频系统
LCD Junction Box 接线盒
Japan Cable Television Association 日本有线电视协会
LD Joint Photographic Experts Group 联合图片专家组
LDTV Japan Satellite Broadcasting Inc 日本广播卫星公司
IED Keyboard 键盘
LF Local Area Network 局域网
LFE Low Bit-rate Coding 低码率编码
LFO Lossless Coding 无损编码
LI Liquid Crystal Display 液晶显示器
LMDS Light Coupled Device 光耦合器件
LNA Laser Diode 激光二极管
LO Low Definition Television 低分辨率数字电视
LPF Light-Emitting Diode 发光二极管
LRC Low Frequency 低频
LS Low Frequency Response 低频响应
LSD Low Frequency Oscillator 低频振荡器
LSI Level Indicator 电平指示器
LSN Local Microwave Distribution System 本地微波分配系统
LTC Low Noise Amplifier 低噪声放大器
LVD Local Oscillator 本地振荡器
LVR  Low Pass Filter 低通滤波器
Longitudinal Redundancy Checking 纵向冗余校验
Light Source 光源
MAC Large Screen Display 大屏幕显示器
MAN Large Scale Integrated Circuit 大规模集成电路
MAPI Local Supervision Network 本地监测网
MATV Longitudinal Time Code 纵向时间码
MC Laser Vision Disc 激光电视唱片
Laser Video Recording System 激光视盘录制系统
Multiplexed Analog Components 复用模拟分量
Metropolitan Area Network 都市网
MCI Multimedia Application Programming Interface 多媒体应用编程接口
MCPC Master Antenna Television 共用天线电视
MCR Main Control 主控
Media Composer 非线性媒体编辑系统
MD Motion Compensation 运动补偿
MDM Multimedia Communication 多媒体通信
MDOP Media Control Interface 媒体控制接口
MF Multi-Channel Per Carrier 多路单载波
MIC Master Control Room 主控制室
MIDI  Mobile Control Room 转播车,移动控制室
MMDS Magnetic Drum 磁鼓
MODEM Multimedia Data Management 多媒体数据管理
MOL Multimedia Data Operation Platform 多媒体数据操作平台
MON Medium Frequency 中频
MPC Microphone 传声器,话筒
MPEG Musical Instrument Digital Interface 乐器数字接口
MPO  Multi-Channel Microwave Distribution System 微波多点分配系统
Modulator And Demodulator 调制解调器
MR Maximum Output Level 最大输出电平
MSC Monitor 监视器,监听器。
MT Multimedia Personal Computer 多媒体个人计算机
Moving Picture Experts Group 活动图像专家组
MTS Maximum Power Output 最大功率输出
MTSC Music Power Output 音乐功率输出
MTU Memory Read 存储器读出
MTV Main service Channel 主业务信道
MVDS Microwave Transmission 微波传输
MVL Magnetic Tape 磁带
MWS  Modem Termination System 调制解调器终接系统
NAB Mobile Telephone Switching Center 移动电话交换中心
NAOD Maximum Transfer Unit 最大输出单元
NBC Music Television 音乐电视
NC Multimedia Video On Demand System 多媒体视频点播系统
Master Video Library 主视频数据库
Microwave Station 微波站
NDB National Association 0f Broadcasters (美国)全国广播工作者协会
NEB  Near Audio-On-Demand 准点播音频
NHK National Broadcasting Company (美国)全国广播公司
NI Network Computer 网络计算机
NII News Cutter 新闻编辑系统
NIM Noise Criterion 噪声尺度
N-ISDN Network DataBase 网络数据库
NLE Noise Equivalent Bandwidth 噪声等效带宽
NLES Nippon Hoso Kyokai 日本广播协会
NMT Noise Index 噪声指数
NNI National Information Infrastructure (美国)国家信息基础设施
Network Interface Module 网络接口模块
NO Narrow Band-ISDN 窄带综合业务数据网
NT Non-Linear Editing 非线性编辑
NTE Non-Linear Editing System 非线性编辑系统
NTSC Network Management Terminal 网络管理终端
NVOD Network-Network Interface 网络一网络接口
Network-Node Interface 网络节点接口
Network Operator 网络运营者
OAB Network Terminal 网络终端
OAN Network Termination Equipment 网络终接设备
OAW National Television System Committee (美国)国家电视系统(制式)委员会
OB  Near Video On Demand 准视频点播
ODN One-to-All Broadcast 单向广播
OFC Optical Access Network 光纤接入网
ONU On-Air Workstation 播出工作站
OO Outside Broadcast 实况广(转)播
OOP Optical Distribution Node 光分配节点
OS Optical Fiber Cable 光缆
OSS  Optical Network Unit 光网络装置
OTDM Object Oriented 面向对象
OTT Object-Oriented Programming 面向对象编程
OWA  Operation System 操作系统
Optimal Stereo Signal 最佳立体声信号
Optical Time Division Multiplexing 光时分复用
PA Optical Transmission Technology 光传输技术
PAM One-Way Addressable 单向寻址
PAS Power Amplifier 功率放大器
PBX Pulse Amplitude Modulation 脉冲幅度调制
PC Public Address System 扩声系统
Private Branch Exchange 专用小交换机
PCI Perceptual Coding 感知编码
PCL Picture Coding 图像编码
PCM Peripheral Component Interconnect 外围部件互连(总线)
PDA Play Control List 播放控制表
PDH Pulse Code Modulation 脉码调制
PDN Pulse Distribution Amplifier 脉冲分配放大器
PE Pseudo-synchronous Digital Hierarchy 准同步数字系列
PFM Public Data Network 公用数据网
PIO Phase Encoding 相位编码
PL Pulse Frequency Modulation 脉冲频率调制
PM Parallel Input Output 并行输入输出
P-PSN Private Lines 专用线路
PS Phase Modulation 相位调制
Public Packet Switched Network 公共包交换网络
Power Supply 电源
Packet Switch 数据包交换机
Program Stream 节目码流
PSC Program Switching Center 节目切换中心
PSE Packet-Switched Exchange 分组交换机
PSN Public Switching Network 公共交换网
PSTN Public Switching Telecommunication Network 公共交换电信网
PTV Pay Television 付费电视
Projection Television 投影电视
PW  Pulse Width 脉宽
RAID Redundant Array of Inexpensive Disc 廉价磁盘冗余阵列
RAM  Random Access Memory 随机存取存储器
RBDS Radio Broadcast Data System 无线广播数据系统
RBW Reference Bandwidth 参考带宽
RCC Route Control Center 路由控制中心
RDS Radio Data System 广播数据系统
RF Radio Frequency 射频
RFA Raman Fiber Amplifier 拉曼光纤放大器
RIU Remote Interface Unit 远端用户单元
RMS Root Mean Square 均方根值,有效值
ROM Read-only Memory 只读存储器
RP Radio Paging 广播寻呼
Record Pointer 记录指针
RT Radio Test 广播文本
RTA Real Time Analyzer 实时分析(仪),频谱分析(仪)
RTCA Real Time Control Area 实时控制区
RTS Real Time Simulator 实时模拟
Real Time System 实时系统
RTV Real Time Video 实时视频
RVC Remote Video Conference 远程视频会议
RVS Remote Video Surveillance 遥控视频监视
RZ  Return to Zero 归零(码),复零
SA Source Address 源地址
SAN Small Area Network 小区网络
Storage Area Network 存储区域网络
SARFT State Administration of Radio Film and TV (中国)国家广播电影电视总局
SAW Surface Acoustic Wave 声表面波
SAWF Surface Acoustic Wave Filter 声表面波滤波器
S-CDMA Synchronous-Code Division Multiple Access 同步码分多址方式
SCE Single Channel Encoder 单信道编码器
SCMS Serial Copy Management System 成套复制管理系统
SCN Space Cable Network (通信)卫星CATV节目传送网络
SDB Switched Digital Data Interconnect 交换数字广播
SDH Synchronous Digital Hierarchy 同步数字系列
SDI  Serial Digital Interface 串行数字接口
Standard Data Interface 标准数据接口
SDMA Space Division Multiple Access 空分多址
SDN Synchronous Digital Transmission Network 同步数字传输网络
SDTV Standard Definition Television 标准清晰度电视
SE  Sound Effect 音响效果
SEA Sound Effect Amplifier 音响效果放大器
Special Effect Amplifier 特技放大器
SEG Special Effect Generator 特技发生器
SI Service Information (数字电视)业务信息
SIO Serial Input /Output 串行输入/输出
SLA  Semi-conductor Laser Amplifier 半导体激光放大器
SMF Single Mode Fiber 单模光纤
SMS Subscriber Management System 用户管理系统
SNG Satellite News Gathering 卫星新闻采集系统
SNR Signal to Noise Ratio 信噪比
SONET Synchronous Optical Network 同步光纤网
SPG Sync-Pulse Generator 同步脉冲发生器
SPI Synchronous Parallel Interface 同步并行接口
SPOT Satellite Positioning and Tracking 卫星定位与跟踪
SS Small Scale Integrated Circuit 小规模集成电路
ST Studio 演播室
STC Satellite Tracking Center 卫星跟踪中心
STM Synchronous Transfer Mode 同步转移模式
STS Satellite Transmission System 卫星传输系统
SW  Short Wave 短波
Terminal Adapter 终端适配器
Traffic Announcement 交通广播
TA Trunk Amplifier 干线放大器
Trunk Adapter 干线适配器
Time Base Corrector 时基校正器
TAD Temperature Coefficient 温度系数
TBC Time Code 时间码
TC Transfer Control 传输控制
TCC Television Control Center 电视控制中心
TDA Trunk Distribution Amplifier 干线分配放大器
TD-CDMA Time Division-Code Division Multiple Access 时分-码分多址
TDM Time Division Multiplexing 时分复用
THD Total Harmonic Distortion 总谐波失真
TOS Tape Operating System 磁带操作系统
TP Test Point 测试点
TPS Tracking Pilot Signal 跟踪导频信号
TS Transport Stream 传送码流
TSC Television Standard Converter 电视制式转换器
TVM Television Monitor 电视监视器
TVS Television Studio 电视演播室
TVT  television translator 电视转发器(差转机)
UA User Agent 用户代理
UDP User Data Protocol 用户数据协议
UDTV Ultra High Definition TV 特高清晰度电视
UHF Ultra-High Frequency 特高频
ULF Ultra-Low Frequency 超低频
UNI User Network Interface 用户网接口
UP User Plane 用户平面
UPI User Premises Interface 用户室内接口
USS United States Standard 美国标准
USSB United States Satellite Broadcasting 美国卫星广播(公司)
UV Ultra Violet 紫外(线)
UW  Ultrasonic Wave 超声波
VAM Video Access Module 视频接入模块
VAN value Added Network 增值网络
VAS value Added Service 增值业务
VB Video Animation System 视频动画系统
Virtual Bench 虚拟装置
VCA Voltage Controlled Amplifier 压控放大器
VCD Variable Capacitance Diode 变容二极管
Video CD 数字激光视盘
VCP Video Cassette Player 盒式放像机
VCR Video Cassette Recorder 盒式录像机
VD Vertical Drive 场驱动
VDA Video Distribution Amplifier 视分放大器
VDT Video Display Terminal 视频显示终端
VE Video Engineer 视频工程师
VEQ Video Equalizer 视频均衡器
VES Virtual Editing System 虚拟编辑设备
VESA Video Electronics Standard Association (美国)视频电子标准协会
VF Video Frequency 视频
VG Video Graphics 视频图形
VGA Video Graphics Array 视频图形阵列(显示卡)
VHF Very High Frequency 甚高频
VHS Video Home System 家用视频系统
VIP Visual Image Processor 视频图像处理器
VITC Vertical Interval Time Code 场消隐期时间码
VITS Vertical Interval Test Signal 场消隐期测试信号
VLC Variable Length Coder 可变长度编码器
VLSI Very Large Scale Integrated Circuit 超大规模集成电路
VOD Video-On-Demand 视频点播
VR Virtual Reality 虚拟现实
VRC Vertical Redundancy Checking 垂直冗余检验
VS Video Server 视频服务器
Video Session 视频会议
VSM Video Service Module 视频业务模块
VSB Vestigial Side-Band 残留边带
VSS Virtual Studio System 虚拟演播室系统
VT Visual Telephone 可视电话
VTR  Video Tape Recorder 磁带录像机
WA Wireless Access 无线接入
WAN Wide Area Network 广域网
WAU Wireless Access Unit 无线接入单元
WBA Wide Band Amplifier 宽带放大器
WBR Write Buffer Register 写入缓存器
W-CDMA Wide Band-CDMA 宽带码分多址
WD Wavelength-Division 波分
WDM Wavelength Division Multiplexing 波分复用
WDMA Wavelength Division Multiple Access 波分多址
Web TV Web Television 网络电视
WFM Wave Form Monitor 波形监视器
WLAN Wireless Local Area Network 无线局域网
WORM Write Only Read Many 一次性写入多次读出(光盘)
WS Working Storage 暂存器
WV Working Voltage 工作电压
XBS Extra Bass System 超低音系统
XDR External Data Representation 外部数据表示
X-FMR X-FORMER 变压器
XL Inductive Reactance 感抗
XM Cross Modulation 交调
XIC Transmission Interface Converter 传输接口转换器
XIR Extreme Infrared 远红外线
XPNDR Transponder 转发器,应答器
XPT Cross Point 交叉点
XT Cross Talk 串音,串扰
XVTR Transverter 变换器
YEDFA Ytterbium Erbium Co-Doped Fiber Amplifier 镱铒光纤放大器
Y/C Luminance/Chrominance 亮度/色度
Y-signal  Luminance Signal 亮度信号
ZA Zero Adjustment 调零,零点调整
ZCP Zero Crossing Point 过零点
ZP Zero Potential 零电位
ZIT  Zone Information Table 网络群信息表1/f noise 1/f 噪声
16 bit microcomputer 16 位微型计算机
3 d distribution 三维分布
4 bit slice processor 4位片处理机
5 reference 5伏基准电压源
a d converter 模拟数字转换器模数转换器
abbreviated code 缓冲存储器
abbreviated dialing 快速呼叫
aberration 象差
abnormal glow discharge 异常辉光放电
abnormal reflections 异常反射
abrasion 磨耗
abrasive 磨料
abrasive dust 磨粉
abrasive jet machining 磨料喷射加工
abrasive jet trimming 磨料喷射蝶
abrasive paste 磨蚀剂
abrasive trimming 研磨蝶
abrupt degradation 急剧退化
abrupt heterojunction 突变异质结
abrupt junction 突变结
absolute threshold of luminance 绝对亮度阈
absorbed power 吸收功率
absorber 吸收剂
absorbing capacity 吸收能力
absorbing circuit 吸收电路
absorbing layer 吸收层
absorbing medium 吸收媒质
absorbing transition 吸收跃迁
absorption 吸收
absorption band 吸收带
absorption length 吸收长度
absorption line 吸收线
absorption loss 吸收损失
absorption measurement 吸收测定
absorption modulation 吸收灯
absorption point 吸收点
absorption resistance 吸收电阻
absorption thickness 吸收长度
absorptivity 吸收能力
abutment joint 对接
ac cut quartz ac 截割水晶片
accelerated aging 加速老化
accelerated particle 加速粒子
accelerated test 加速试验
accelerating anode 加速阳极
accelerating cycle 加速周期
accelerating electrode 加速电极
accelerating grid 加速栅极
accelerating period 加速周期
accelerating slit 加速缝
accelerating tube 加速管
accelerating wave 加速波
acceleration of charged particles 带电粒子加速
acceleration space 加速空间
acceleration voltage 加速电压
accentuation 加重
acceptable contrast ratio 较佳对比度
acceptor 受主
acceptor atom 受汁子
acceptor center 受中心
acceptor density 受周度
acceptor impurity 受钟质
acceptor level 受周级
acceptor type semiconductor 受滞半导体
access code 存取码
access method 访问方法
accommodation 适应性第
accommodation coefficient 第系数
accumulation layer 累积层
accuracy 准俑
accuracy in reproduction 再生精确度
accurate positioning 精确定位
ace 改进型专用射极耦合逻辑
achromatc region 消色差区
achromatic lens 消色差透镜
achromatic threshold 消色差阈值
acicular crystal 针状结晶
acid etch 酸腐蚀
acorn tube 橡实管
acoustic absorptivity 吸声系数
acoustic filter 滤声器
acoustic frequency 声频
acoustic image 声像
acoustic impedance 声阻抗
acoustic labyrinth 声迷路
acoustic manipulated recorder 声动录音机
acoustic surface waves 声面波
acoustic transducer 声能转换器
acoustic treatment 声学处理
acoustic wave 音波
acoustic wave oscillator 表面声波振荡器
acoustical delay line 声延时线
acoustical feedback 声反馈
acoustical holography 声全息术
acoustical image 声像
acoustics 声学
acoustoelectric transducer 声 电变换器
acoustooptical deflector 声光偏转器
acoustooptical interaction 声光酌
acoustooptical modulator 声光灯器
acoustooptical phenomenon 声光现象
acoustooptical q switch 声光 q 开关
acquisition 捕获
acquisition and tracking radar 搜送跟踪雷达
acquisition probability 目标探测概率
action radius 酌半径
activated cathode 活化阴板
activated molecule 活化分子
activation 激活
activation energy 激活能
activator 激活剂
active area 有源区
active component 有效分量
active counter measures 积极干扰
active dust 活性粉尘
active element 有源元件
active element group 有源元件组
active fiber 活性纤维
active filter 有源滤波器
active guidance 织制导
active homing guidance 织寻的制导
active jamming 积极干扰
active laser element 有源激光元件
active laser substance 激光皮捉质
active liquid 活性液体
active mode locking 有源波模同步
active parasitics 有源寄生元件
active q switching 有源 q 开关
active redundancy 有源备份
active repeater 有源中继器
active return loss 有源四端网络的反射损耗
active satellite repeater 有源卫星转发器
active substrate 有源衬底
active substrate trimming 有源衬底蝶
actuator 传动机构
acuity 锐度
adaptability equalizer 适应性均衡器
adaptation 适应
adapter 转接器
adapter coupling 套筒式联轴器
adaptive correlator 自适应相关器
adaptive delta modulation 自适应增量灯
adaptive receiver 自适应接收机
adatom 吸附原子
adc 模拟数字转换器模数转换器
adcock antenna 爱德考克天线
adcock direction finder 爱德考克测向仪
add ons 附加元件
added component 附加元件
adder 加法电路
additive color mixture 加色法混合
additive color synthesis 加色法合成
additive color system 加色法系统
additive mixing 加色法混合
additive mixing of primaries 基色的相加混合
additive primaires 加色法原色
additive printed circuit technique 印刷电路的添加技术
additive process 加色法
addressing system 寻址系统
adherence 附着
adhesion 附着
adhesion strength 粘着强度
adhesive 粘合剂
adhesive coating 粘合剂涂敷
adhesive die attachment 芯片粘附
adhesive sealing 胶粘密封
adhesive tape 粘合带
adjacent audio carrier 邻道伴音载波
adjacent channel 相邻信道
adjacent channel attenuation 邻信道衰减
adjacent channel interference 邻信道干扰
adjacent channel rejector 邻频道抑制器
adjacent channel selectivity 相邻信道选择性
adjacent lines 相邻线路
adjacent picture carrier 邻信道图象载波
adjacent picture carrier spacing 相邻图象载波间隔
adjacent picture carrier trap 邻频道图象载波陷波器
adjustable attenuator 可单减器
adjustable short 可堤路
adjustable threshold mos 可敌值金属氧化物半导体
adjustment 蝶
admittance 导纳
admittance matrix 导纳矩阵
admixture 混合物
adsorbate 吸附质
adsorbent 吸附剂
adsorption 吸附
adsorption layer 吸附层
adulterated semiconductor material 低劣半导体材料
advance angle 超前角
advanced customized ecl 改进型专用射极耦合逻辑
advanced low power schottky ttl 改进型低功耗肖特基晶体管晶体管逻辑电路
advanced polysilicon self aligned process 改进型自对准多晶硅栅工艺
advanced schottky transistor logic 改进型肖特基晶体管逻辑电路
advanced schottky ttl 改进型肖特基晶体管晶体管逻辑电路
aeg 有源元件组
aerial 天线
aerial array 天线阵
aerial attenuator 天线衰减器
aerial cable 天线电缆
aerial capacity 天线电容
aerial choke 天线扼力
aerial circuit 天线电路
aerial coupling 天线藕合
aerial coupling coil 天线藕合线圈
aerial current 天线电流
aerial efficiency 天线效率
aerial excitation 天线激励
aerial feed 天线馈电
aerial feeder 天线馈线
aerial impedance 天线阻抗
aerial inductance 天线电感
aerial lead in 天线引入线
aerial lens 透镜天线
aerial loss 天线损耗
aerial noise 天线噪声
aerial power 天线功率
aerial radiation resistance 天线辐射电阻
aerial reactance 天线电抗
aerial resistance 天线电阻
aerial system 天线系统
aerial terminal 天线接头
aerial tower 天线杆
aerial tuning capacitor 天线党电容器
aerial tuning coil 天线党线圈
aerial tuning condenser 天线党电容器
aeroelectronic 航空电子学的
aeroelectronics 航空电子学
aerogram 无线电报
aeronautical station 导航电台
aeroplane antenna 飞机天线
aeroplane flutter 飞机反射的干扰信号
aes 俄歇电子能谱学
af 音频
affinity 亲合力
after acceleration 后加速
afterglow 余辉
afterimage 余像
aftertreatment 后处理
ageing 老化
ageing condition 老化条件
aggregate 集聚
aggregation 集聚
aging 老化
ai 雪崩注入
aid 雪崩注入二极管
aided tracking 半自动跟踪
aim 雪崩感生徙动
aimic 空气隔离型单片集成电路
air bearing stage 空气轴承台
air clearance 空隙
air cooled laser 空气冷却式激光器
air ground communication 空对地通信
air isolation 空气隔离
air isolation integrated circuit 空气隔离型集成电路
air isolation monolithic ic 空气隔离型单片集成电路
air isolation process 空气隔离型集成工艺
air leak 漏气
air oxide isolation 空气 氧化物隔离
air position indicator 空中位置指示器
air purge 吹气清洗
air to surface vessel radar 侦察海面舰艇的飞机监视雷达
air track 风动传送设备
airborne beacon 飞机无线电信标
airborne contamination 空传污染
airborne radar 机载雷达
airborne television receiver 机载电视接收机
aircraft antenna 飞机天线
aircraft interception radar 拦截飞机雷达
aircraft station 飞机电台
airgap 空隙
airport beacon 机场信标
airport danger beacon 机场危险信标
airport hazard beacon 机场危险信标
airport traffic control 机场交通控制
airtight joint 气密接合
ajt 磨料喷射蝶
alarm signal 报警信号
albedo 反照率
albedo measurement 反射率测量
ald 自动逻辑设计
alford loop antenna 阿尔福德环形天线
alg 铝栅
algorithm 算法
aligner 对准器
alignment 定位
alignment accuracy 对准精度
alignment error 对准误差
alignment laser 蝶用激光器
alignment machine 对准器
alignment mark 对准标记
alignment pin 定位锁
alignment registration 精密对准
alignment requirements 校准要求
alkali antimonide 碱金属锑化物
alkali free environment 无碱环境
alkaline strippable resist 由碱可除光刻胶
all band tv tuner 全波段电视党器
all diffused integrated circuit 全扩散型集成电路
all mains receiver 交直两用接收机
all weather radar 全天候雷达
allotter 分配器
allowed band 容许能带
allowed level 容许能级
allowed line 容许线
allowed transition 容许跃迁
alloy 合金
alloy film 合金薄膜
alloy junction 合金结
alloy junction transistor 合金结晶体管
alloy transistor 合金晶体管
alloyed contact 合金接触
alloyed diode 合金型二极管
alloyed junction 合金结
alloyed region 合金区域
alloyed transistor 合金晶体管
alpha 接字母顺序的
alpha immunity 抗射线性
alpha particle bombardment 粒子轰击
alpha particle protection 粒子保护
alpha radiation sensitivity 粒子辐射灵敏度
alpha scintillation counter 粒子闪烁计数器
alphabetic 接字母顺序的
alphanumeric printing tube 字符印字管
alphatron 管
alsttl 改进型低功耗肖特基晶体管晶体管逻辑电路
alternate route 更替路由
alternate routing 更替路由
alternate scanning 隔行扫描
alternating current 交流
alternating current measurement 交菱量
alternating current resistance 交羚阻
alternating supercurrent 超导交流
altimeter 高度计
altitude indicator 高度指示器
alu 算术与逻辑部件
alumina 氧化铝
alumina ceramic scribing 氧化铝陶瓷板划线
alumina package 氧化铝管壳
aluminizing 铝金属化
aluminosilicate glass 铝硅酸盐玻璃
aluminum bonding 铝线热压焊
aluminum gate 铝栅
aluminum metallization 铝金属化
aluminum oxide ceramics 氧化铝陶瓷
aluminum spiking 铝尖峰形成
aluminum step coverage 氧化物阶梯的铝覆盖
aluminum wire bond 铝线热压焊接
am 爹
am receiver 爹收音机
am reception 爹接收
amateur 爱好者
amateur band 业余波段
amateur radio station 业余无线电台
amateur station 业余无线电台
ambient air monitor 环境空气监测器
ambient enviroment 周围环境
ambient humidity 环境湿度
ambient pressure 环境压力
ambient temperature 周围介质温度
ambiguity 非单值性
ambipolar diffusion 双极性扩散
ammonia maser 氨微波激射器氨脉泽
ammonium molecular beam frequency standard 铵分子束频率标准
amorphization 无定形化
amorphous crystalline transition 非晶态晶态转变
amorphous implantation 对非晶半导体离子注入
amorphous semiconductor 非晶半导体
amorphous semiconductor device 非晶半导体掐
amorphous state 非晶形状态
amorphous structure 无定形结构
amount of information 信息量
amplification 放大
amplification constant 放大系数
amplification factor 放大系数
amplification linewidth 放大谱线宽
amplifier 放大器
amplifier band 放大屁频带
amplifier noise 放大齐声
amplifier stage 放大级
amplify 放大
amplifying klystron 放大速弟
amplifying transition 放大跃迁
amplifying tube 放大管
amplitron 特高频功率放大管
amplitude 酌距离
amplitude correction 幅度校正
amplitude discriminator 鉴幅器
amplitude distortion 振幅失真
amplitude error correction 振幅误差校正
amplitude excursion 振幅偏移
amplitude frequency distortion 振幅 频率失真
amplitude frequency response 振幅频率响应
amplitude limiter 限幅器
amplitude limiter circuit 限幅歧路
amplitude modulated transmitter 爹发射机
amplitude modulated vhf transmitter 爹甚高频发射机
amplitude modulation 爹
amplitude modulation monitor 爹监视器
amplitude modulation noise 爹噪声
amplitude modulation suppression 爹抑制
amplitude modulator 爹器
amplitude of videosignal 视频信号振幅
amplitude range of videosignal 视频信号振幅范围
amplitude response 振幅特性曲线
amplitude stabilized laser 振幅稳定激光器
analog 模拟的
analog array 模拟阵列
analog chip 模拟集成电路
analog computer 模拟计算机
analog digital converter 模拟数字转换器模数转换器
analog digital network 模拟 数字网络
analog electronics 模拟电子学
analog information 模拟信息
analog integrated circuit 模拟集成电路
analog microelectronics 模拟微电子学
analog modulation 模拟灯
analog network 模拟网络
analog phase shifter 模拟移相器
analog recording 模拟记录
analog signal 模拟信号
analog switch 模拟开关
analog to digital converter 模拟数字转换器模数转换器
analogue 模拟的
analogue amplifier 模拟放大器
analogue computation 模拟计算
analogue computer 模拟计算机
analogue multiplier 模拟式乘法运算器
analyzer 分析器
and circuit 与电路
and element 与元件
and gate 与电路
and nor gate 与 或非门
and operation 与操作
and or circuit 与或电路
anechoic room 无回声室
angle lap 磨角
angle modulation 角灯
angle of beam deflection 射束偏转角
angle of divergence 发散角
angle of elevation 仰角
angle of groove inclination 槽倾斜角
angle of incidence 入射角
angle of inclination 倾斜角
angle of lead 超前角
angle of light incidence 光入射角
angle of radiation 辐射角
angle to digit converter 角度 数字变换器
angle tracking 角跟踪
angled ion implantation 倾斜离子注入
angular distribution 角分布
angular resolution 角分辨率
anion 阴离子
anion resin 阴离子交换尸
anisotropic etch 蛤异性腐蚀
anisotropic etch profile 蛤异性腐蚀断面图
anisotropic etch property 蛤异性腐蚀性质
anisotropic etchant 蛤异性腐蚀剂
anisotropic etching 蛤异性腐蚀
anisotropic material 蛤异性材料
anisotropy 蛤异性
annealer 退火炉
annealing 热处理
annealing activation 退火杂质活化
annealing cap 退火覆盖层
announcer 广播员
annular blade 环形锯片
annular contact 环形接触
annular cutting 环形锯片切割
annular resistor 环状电阻器
annular rotary joint 环状旋转接头
annular saw 环形锯
annular slot 环状缝隙
anode 阳极
anode characteristic 阳极特性
anode dark space 阳极暗区
anode detection 阳极检波
anode dissipation 屏极耗散
anode efficiency 阳极效率
anode etching 阳极电解腐蚀
anode follower 屏极输出器
anode glow 阳辉光
anode grid capacity 阳极 栅极电容
anode load 屏极负载
anode neutralization 阳极中和
anode oxidation 阳极氧化
anode rays 阳极射线
anode rectification 屏极检波
anode resistance 阳极电阻
anode sputtering 阳极溅射
anode stopper 阳极寄生振荡抑制器
anode supply 阳极电源
anode terminal 阳极端子
anode voltage 阳极电压
anodic 阳极的
anodic oxidation 阳极氧化
anodization 阳极处理
anomalous crystal growth 异常晶体生长
answering cord 应答塞绳
answering device 应答装置
answering plug 应答塞
antenna 天线
antenna amplifier 天线放大器
antenna array 天线阵
antenna attenuator 天线衰减器
antenna booster 天线放大器
antenna cable 天线电缆
antenna capacitor 天线电容器
antenna capacity 天线电容
antenna change over switch 天线转换开关
antenna choke 天线扼力
antenna circuit 天线电路
antenna connection 天线接线
antenna coupling 天线藕合
antenna coupling condenser 天线耦合电容器
antenna current 天线电流
antenna effect 天线效应
antenna efficiency 天线效率
antenna element 天线元件
antenna emf 天线电动势
antenna excitation 天线激励
antenna feed 天线馈电
antenna feed impedance 天线输入阻抗
antenna feeder 天线馈线
antenna field gain 天线场强增益
antenna grounding switch 天线接地开关
antenna height above average terrain 平均地表面上天线高度
antenna impedance 天线阻抗
antenna inductance 天线电感
antenna lead 天线引线
antenna lead in 天线引入线
antenna lens 透镜天线
antenna loss 天线损耗
antenna noise 天线损耗
antenna power 天线功率
antenna radiation resistance 天线辐射电阻
antenna reactance 天线电抗
antenna resistance 天线电阻
antenna socket 天线插座
antenna system 天线系统
antenna terminal 天线接头
antenna tilt 天线仰角
antenna tower 天线杆
antenna tuning capacitor 天线党电容器
antenna tuning coil 天线党线圈
antenna tuning condenser 天线党电容器
anteunator cable 天线衰减器
anti collision device 防撞雷达
anti induction network 消感网络
anti oxidation layer 抗氧化层
anti transmit receive switch 天线收发转换开关
anticathode 对阴极
anticipating signal 预告信号
anticoincidence circuit 反符合电路
antifading antenna 抗衰落犬线
antifading device 抗衰落装置
antiferroelectric 反铁电体
antihunt circuit 阻厄电路
antijam receiver 抗干扰接收机
antijamming unit 抗干扰装置
antimony 锑
antinoise 抗噪声
antiradar 反雷达
antiradar missile 反雷达导弹
antiradiation missile 反雷达导弹
antiradiolocation 反雷达学
antisatellite missile 反卫星导弹
antistatic agent 防静电剂
antistatic aids 防静电设备
antistatic assembly 防静电组装
antistatic bag 防静电袋
antistatic gloves 防静电手套
antistatic mask blank 防静电掩模底版
antistatic station 防静电台
antistatic surface treatment 防静电表面处理
antistatic tool 防静电工具
antistockes line 反斯托克斯线
antitrans mit receive box 收发开关盒
apcvd 常压化学汽相淀积
aperiodic antenna 非党天线
aperiodic circuit 非周期电路
aperture 口径
aperture angle 张角
aperture compensation 孔径失真补偿
aperture coupling 孔径耦合
aperture distortion 孔径失真
aperture equalization 孔径均衡
aperture lens 针孔透镜
aperture loss 孔径损失
aperture mask 多孔障板
aperture mirror 孔镜
aperture stop 孔径光阑
appearance inspection 外观检查
apple tube 苹果彩色显象管
applicator 敷料器
applied holography 应用全息照相术
approach beacon 进场信标
approach control 进场管理
approach control radar 进场指挥雷达
apsa 改进型自对准多晶硅栅工艺
aption circuit 吸收电路
aqueous cleaner 水清洗器
aqueous processing 水处理
arc 弧
arc cathode 电弧阴极
arc lamp pumping 弧光灯激励
arc plasma 电弧等离子体
arcback 逆弧
architecture 构造
arcing 电弧放电
arcotron 显光管
area code 区域码
area imager 面积型成像机
argon 氩
argon laser 氩激光器
arithmetic and logic unit 算术与逻辑部件
arithmetical unit 运算装置
arm 异步应答方式
armstrong oscillator 盗丹振荡器
arrangement 排列
array 阵列
array chip 阵列式芯片
array device 阵列集成电路
array integrated circuit 阵列集成电路
array layout 阵列布图
array logic 阵列逻辑
array memory 存贮企列
array patterning 阵列图象形成
array pitch 阵列间距
array processing 阵列处理
array structure 阵列结构
arrival current 输入电流
arsenic 砷
arsenic doped emitter 掺砷发射极
arsenic doped epi 掺砷外延层
arsenic doping 砷掺杂
arsenic spin on solution 涂布的砷溶液
arsenide 砷化物
articulation 可听清晰度
artificial aerial 假天线
artificial ageing 人工老化
artificial antenna 仿真天线
artificial black signal 黑电平测试信号
artificial delay line 仿真延迟线
artificial echo 假回波
artificial electronic eye 人造电子眼
artificial hologram 仿真全息图
artificial line 仿置线
artwork 原图
artwork checking tool 版图检查工具
artwork design 原图设计
artwork generation 图形发生
artwork generator 图形发生器
artwork knife 原图制备刀
artwork master 照相底图
aru 更替路由
as ttl 改进型肖特基晶体管晶体管逻辑电路
asdic 超声波水下探测器
aspect ratio 长宽比
asperity 微观粗糙度
assembler 汇编程序;装配器
assembly 组装
assembly defect 组装错误
assembly drawing 装配图
assembly equipment 装配设备
assembly facilities 装配设备
assembly fixture 装配夹具
assembly room 装配室
assembly station 组装台
assembly yield 组装成品率
assigned frequency 分配频率
assistating current magnetic biasing 交莲磁
associative memory 相联存储器
ast 有源衬底蝶
astable 非稳态多谐振荡器
astable circuit 非稳定电路
astable multivibrator 非稳态多谐振荡器
astigmatism 像散现象
astl 改进型肖特基晶体管逻辑电路
astrionics 天文电子学
astronics 天体电子学
astronomical maser 天体脉泽
astronomical navigation 天文航海
astrophysical maser 天体脉泽
asymmetric amplitude modulation 非对称振幅灯
asymmetric sideband transmission 不对称边带传送
asymmetrical deflection 不对称偏转
asynchronous operation 异先操作
asynchronous response mode 异步应答方式
asynchronous transmission 异步传输
at cut crystal at 切割晶体
ate 自动测试设备
atmos 可敌值金属氧化物半导体
atmosphere 大气
atmosphere model 大气模型
atmospheric absorption 大气吸收
atmospheric absorption band 大气吸收带
atmospheric attenuation 大气衰减
atmospheric duct 大气波导
atmospheric electric field 大气电场
atmospheric guide 大气波导管
atmospheric model 大气模型
atmospheric pressure chemical vapor deposition 常压化学汽相淀积
atmospheric pressure laser 大气压激光器
atmospheric transmission band 大气透射带
atmospheric transmittance 大气透射系数
atmospheric wave 天波
atmospheric window 大气窗
atmospherics 大气干扰
atomic absorption spectrophotometry 原子吸收分光光度法
atomic beam frequency standard 原子束频率标准
atomic beam laser 原子束激光器
atomic clock 原子钟
atomic frequency standard 原子频率标准
atomic hydrogen 原子氢
atomic impurity 原子型杂质
atomic ionization 原子电离
atomic laser 原子激光器
atomic linewidth 原子谱线宽度
atomic nucleus 原子核
atomic time standard 原子时标准
ats 自动测试系统
attached device 附加元件
attainable resolution 可达清晰度
attenuation 衰减
attenuation constant 衰减常数
attenuation pad 衰减器
attenuator 衰减器
audibility 听能听能
audio 听觉的
audio amplifier 声频放大器
audio carrier 伴音载波
audio center frequency 伴音中心频率
audio channel 伴音通道
audio frequency 音频
audio frequency amplification 声频放大
audio frequency amplifier 声频放大器
audio frequency band 音频频带
audio frequency choke 音频扼力
audio frequency generator 音频发生器
audio frequency meter 音频频率计
audio frequency peak limiter 音频峰值限幅器
audio frequency transformer 音频变压器
audio level indicator 音频电平指示器
audio mixer 音频混频器
audio output unit 音频回音装置
audio range 音频范围
audio response unit 音频回音装置
audio signal 音频信号
audio spectrum 音频频谱
audio spectrum analyzer 音频频谱分析器
audio track 声道
audio transmitter 音频发射机
audiogram 听力图
audiometer 听力计
audiometry 测听技术
audion 三极检波管
audit 检查
audition 听能听能
auger electron 俄歇电子
auger electron emission 俄歇电子发射
auger electron spectroscopy 俄歇电子能谱学
auger microprobe 俄歇微探针
auger recombination 俄歇复合
auger spectrometer 俄歇能谱仪
auger transition 俄歇跃迁
aural 听觉的
aural radio range 无线电导航有声信标
aural reception 收听
aural transmitter 伴音发射机
aurora 极光
aurora australis 南极光
aurora borealis 北极光
autage 故障
authentication 确认
autoacceleration 自动加速
autocompensator 自动补偿器
autocontrol 自动控制
autocorrelation 自相关数
autocyne reception 自差接收法
autodoping 自掺杂
autodyne 自差
autodyne receiver 自拍接收机
autoindexing 自动标引
automask aligner 自动掩模对准器
automated design 自动设计
automatic answering device 自动应答装置
automatic balance of contrast and brightness 对比度与亮度自动平衡
automatic black level circuit 自动黑电平电路
automatic black level control 自动黑电平控制
automatic check 自动检验
automatic chrominance control 自动色度蝶
automatic component handler 自动元件装卸装置
automatic computer 自动计算机
automatic computing machine 自动计算机
automatic contrast control 对比度自动蝶
automatic control 自动控制
automatic control system 自动控制系统
automatic degaussing 自动消磁
automatic exchange 自动电话交换机
automatic focusing 自动聚焦
automatic frequency control 自动频率控制
automatic frequency control characteristic 自动频率控制特性
automatic gain control 自动增益控制
automatic grid bias 自偏压
automatic hue control 自动色地制
automatic indexing 自动标引
automatic insertion 自动插入
automatic layout technique 自动布图技术
automatic line phasing 自动行同步
automatic logic design 自动逻辑设计
automatic long distance service 直接长途拨号
automatic monitor 自动监视器
automatic picture stabilization 自动图象稳定控制
automatic regulation 自动控制
automatic router 自动定线器
automatic stop 自动停机
automatic subcarrier balance control 自动副载波平衡控制
automatic telegraphy 自动电报
automatic telephone exchange 自动电话交换局
automatic telephone switching system 自动电话交换系统
automatic test equipment 自动测试设备
automatic test system 自动测试系统
automatic transmitter 自动发射机
automatic tuning 自动党
automatic vacuum deposition system 自动真空淀积系统
automatic video noise limiter 自动视频杂波限制器
automatic white control 自动白电平控制
automobile radio 汽车收音机
automobile telephone 汽车电话
autoregistration 自对准
autoregulation 自动控制
auxiliary anode 辅助阳极
auxiliary grid 辅助栅极
auxiliary jack 辅助塞孔
auxiliary memory 辅助存储器
auxiliary signal 辅助信号
auxiliary transmitter 备份发射机
available power 可用功率
avalanche 电子雪崩
avalanche action 雪崩酌
avalanche breakdown 雪崩哗
avalanche breakdown voltage 雪崩哗电压
avalanche diode 雪崩二极管
avalanche induced migration 雪崩感生徙动
avalanche injection 雪崩注入
avalanche injection diode 雪崩注入二极管
avalanche injection stacked gate mos 雪崩注入多层栅金属氧化物半导体
avalanche ionization 雪崩电离
avalanche multiplication 雪崩倍增
avalanche multiplication factor 雪崩倍增系数
avalanche noise 雪崩噪声
avalanche photodetector 雪崩光电探测器
avalanche photodiode 雪崩光电二极管
avalanche transistor 雪崩晶体管
avalanche transit time diode 雪崩渡越时间二极管
avalanche transit time oscillator 雪崩渡越时间二极管振荡器
avds 自动真空淀积系统
average brightness 平均亮度
average picture level 平均图象电平
averaging 求平均数
avionics 航空电子学
axial betatron oscilations 轴向电子感应加速岂荡
axial etch nonuniformity 轴向腐蚀不均匀性
axial flow resnatron 轴向通量分米波超高功率四极管
axial injection 轴向注入
axial lead 轴心线
axial lead former 轴向引线成形设备
axial stability 轴向稳定性
axially excited laser 轴向激励激光器
axis of a waveguide 波导管轴
azimuth 方位角;方位角
azimuth accuracy 方位角精度
azimuth adjustment 方位蝶
azimuth drive 方位角驱动
azimuth elevation indicator 方位角 仰角指示器
azimuth loss 方位角损失
azimuth resolution 方位角分辨率
azimuthal bunching 方位角群聚b eliminator 屏极电源整流
back bias 反偏压
back biased diode 反偏二极管
back bonding 倒装键合
back contact 后触点
back diffusion 反向扩散
back edge 下降边
back end processing 后端处理
back gate mos 反向栅偏置金属氧化物半导体
back lobe 后瓣
back porch 后肩
back porch clamping 后沿箝位
back resistance 反向电阻
back surface field 背面电场
back to back diodes 背对背二极管
back wave 返波
backboard 后面板
backbonded chip 倒装芯片
backfill 反填充
backfire 逆弧
background 背景
background color 背景色
background control 背景亮度控制
background fade in 背景淡入
background fade out 背景淡出
background limited photodetector 背景限制光电检测器
background noise 背景噪声
background noise suppression 背景噪声抑制
background radiation 背景辐射
background suppression 背景噪声抑制
background wave 基准波
backing pumpe 前级泵
backpanel 后面板
backplane 后面板
backscatter 背面散射
backscatter factor 背面散射因数
backscattered radiation 背面散射辐射
backside 背面
backup 储备
backwall solar cell 后壁太阳电池
backward conductance 反向电导
backward diode 反向二极管
backward wave 反向波
backward wave amplifier 返波放大器
backward wave oscillator 回波振荡器
backward wave tube 回波管
bacteria free water 无菌水
bake 热处理
bakeout 烘烤
balance 平衡
balanced aerial 对称天线
balanced amplifier 平衡放大器
balanced antenna 对称天线
balanced cable 对称电缆
balanced circuit 平衡电路
balanced input 对称输入
balanced load 对称负载
balanced mixer 平衡混频器
balanced modulator 平衡灯器
balanced oscillator 平衡振荡器
balanced transformer 平衡变压器
balanced unbalanced transformer 平衡 不平衡变换器
ball bond 球焊
ball bonder 球形焊接器
ball bonding 球焊
ballast resistor 镇羚阻器
ballast tube 镇淋
ballistic heterostructure 弹道异质结构
ballistic transistor 弹道晶体管
ballistic transport 弹道传递
ballometry 雾粒电荷测定法
balls down chip 球形引线倒装芯片
balun 平衡 不平衡变换器
banana plug 香蕉插头
band 带
band bending 能带弯曲
band crossing 能带相交
band edge 带边沿
band edge curvature 能带边缘弯曲
band elimination filter 带阻滤波器
band gap 能带隙
band gap narrowing 禁带收缩
band limits 频带限制
band pass 通带
band pass amplifier 带通放大器
band pass crystal filter 带通晶体滤波器
band pass filter 带通滤波器
band reject filter 带阻滤波器频带展觉系数
band scheme 能带图式
band sharing 频带共用
band stop filter 带阻滤波器频带展觉系数
band structure 能带结构
band to band recombination 带间复合
band to band transition 带间跃迁
bandspread 频带展宽
bandwidth 带宽
bandwidth compression 频带宽压缩
bantam tube 小型管
bare board 空板
bare chip 裸片
bare hybrid 裸露混合电路
bare semiconductor 裸露半导体
baritt diode 势垒注入渡越时间二极管
baritt oscillator 势垒注入渡越时间二极管振荡器
barium 钡
barrage jamming 阻塞干扰
barrel distortion 桶形失真
barrel reactor plasma etching 圆筒形反应期等离子腐蚀
barrel type reactor 圆筒形反应器
barretter 稳淋
barrier 势垒
barrier capacitance 阻挡层电容
barrier height 势垒高度
barrier junction 势垒结
barrier layer 阻挡层
barrier layer rectifier 结型整流
barrier potential 势垒电位
barrier type ccd 势垒型电荷耦合掐
base 基极
base bias 基极偏压
base centered lattice 底心晶格
base collector junction 基极集电极秸
base current 基极电流
base diffusion 基极扩散
base diffusion isolation 基极扩散隔离
base diffusion window 基极扩散窗
base electrode 基区电极
base emitter diode 基极发射极二极管
base emitter junction 基极发射极结
base impurity 基区杂质
base insert 隐埋基极
base lifetime 基区载劣寿命
base material 基体材料
base metal cermet 贱金属陶瓷
base metal paste 贱金属膏
base metal resistor 贱金属电阻
base region mask 基区形成掩模
base ring 基区环
base sidewall 基极侧壁
baseband 基带
baseband signal 基带信号
basic approach 基本方法
basic building block 基本构件
basic circuit 基本电路
basic group 基本群
basic process 基准工艺
basic technology 基本工艺
bass boosting circuit 低音增强电路
bat wing antenna 蝙蝠翼天线
batch 一批
batch bonding 成批焊接
batch etching 成批腐蚀
batch fabrication 成批生产
batch method 分批处理法
batch operation 分批操作
baud 波特
baudot code 博条码
baw 体声波
bbb 基本构件
bbd 斗链式掐
bbsr 斗链式移位寄存器
bc cut quartz bc 截割石英片
bccd 埋沟电荷耦合掐
bcmos 埋沟金属氧化物半导体
bdi 基极扩散隔离
beacon 信标灯塔
beacon approach 信标引导进场
beacon course 无线电导标的航线
beam 光束
beam alignment 射束蝶
beam antenna 定向天线
beam aperture 射束孔径
beam attenuation 束衰减
beam axis 射束轴
beam charge neutralization 射束电荷中和
beam collimation 束良直
beam convergence 射束会聚
beam coupling 电子束耦合
beam cross section 束霖面
beam crystallized polysilicon 射束结晶的多晶硅
beam current 射束电流束电流电子束电流
beam deflection 射束偏转
beam deflection tube 射束偏转管
beam density 束淋度
beam diameter 束帘径
beam divergence 射束发散
beam divergence angle 束立散角
beam divider 射束分离器
beam dividing 射束分割
beam division 射束分割
beam energy 射束能量
beam focusing 束聚焦
beam jitter 束摆动
beam lead 梁式引线
beam lead assembly 梁式引线组件
beam lead bonding 梁式引线键合
beam lead chip 梁式引线芯片
beam lead crossover 梁式引线交叉
beam lead device 梁式引线掐
beam lead integrated circuit 梁式引线集成电路
beam lead isolation 梁式引线隔离
beam matching 束隶配
beam modulation 射束灯
beam monitoring 束拎测
beam of particles 粒子束
beam path 束路径
beam phasing 射束相位蝶
beam positioner 电子束位置控制器
beam power tube 束射功率管
beam power valve 束射功率管
beam processing 电子束加工
beam propagation 光束传播
beam propagation path 光束传播轨迹
beam rider missile 驾束式导弹
beam riding missile 驾束式导弹
beam scanning 束扫描
beam self focusing 射束自聚焦
beam shape 射束形状
beam shaping 射束成形
beam splitter 光束分离器
beam splitter mirror 光束分裂镜
beam splitting 束分裂
beam splitting reflector 光束分离反射器
beam spot 电子束光点
beam spreading 束散
beam stabilization 射束稳定
beam switching 射束转换
beam switching tube 射束开关管
beam tape automated assembly 梁式引线带自动组装
beam tape automated bonder 梁式引线带自动焊接器
beam tape packaging 梁式引线带封装
beam tape technology 梁式引线带组装技术
beam tetrode 电子束四极管
beamwriter 电子束描图装置
beamwriter lithography 电子束光刻
bearing 方位
bearing error 方位误差
bearing zone 测向区
beat frequency 拍频
beat frequency oscillator 拍频振荡器
beat frequency receiver 拍频接收机
beats 差拍振动
bef 带阻滤波器频带展觉系数
bell jar 钟形罩
bellows 波纹管
bellows joint 波纹管连接
belt feed 带式进料
belt feeder 带式进料器
belt furnace 带式炉
bench 工专
bend 弯曲
bend coupling 弯曲耦合
bent aerial 曲折天线
bent antenna 曲折天线
bent lightguide 弯曲光波导
bent rhombic antenna 曲折菱形天线
ber 比特误差率
beryllia 氧化铍
beryllium 铍
beryllium ceramics 氧化铍陶瓷
beta current gain 电瘤益
beta degradation 值递降
beta radiation 线辐射
beta tolerance 耐射线性
betatron 电子感应加速器
betatron frequency 电子感应加速频率
betatron orbit 电子感应加速旗道
betatron oscillations 电子感应加速岂荡
bevatron 高能质子同步稳向加速器
beverage aerial 行波天线
beverage antenna 贝佛菜日天线
bfo 拍频振荡器
bi etching system 双重腐蚀装置
bi fet amplifier 双极 场效应晶体管放大器
bi level structure 双电平结构
bias 偏置
bias battery 偏压电池
bias current 偏压电流
bias distortion 偏移失真
bias heat treatment 加偏压热处理
bias noise 偏压噪声
bias sputtering 偏压溅射
bias voltage 偏压
biasing characteristic 控制特性
biasing current 偏压电流
biconical antenna 双锥形天线
biconical horn 双锥形喇叭
bidirectional coupler 双向耦合器
bidirectional transducer 双向转换器双向传感器
bifet 双极结型场效应晶体管工艺
bifilar helix 双股螺旋
bifurcation 分岐
bigfet 双极 绝缘栅场效应晶体管集成电路
bilateral 双边的
bilateral antenna 双向天线
bilateral area track 双边面积灯声道
bilateral switch 双向开关
billboard array 平面反射片相多振子天线
billet 晶锭
billibit 千兆位
bimatron 电子束注入磁控管
bimetal mask 双金属掩模
bimos 双极金属氧化物半导体技术
binary counter 二进制计数器
binary data 二进制数据
binary digit 二进制数字
binary divider 二进制计数器
binary flip flop 二进制触发器
binary information 二进制信息
binary logic gate 二进制逻辑门电路
binary number 二进位数
binary scaler 二进制计数器
binary signal 二进制信号
binary unit 二进制单位
binder 粘合剂
binding agent 粘合剂
biologic solar cell 生物太阳能电池
biological integrated circuit 生物分子集成电路
biomagnetism 生物磁性
bionical horn 双圆锥形喇叭
bipolar approach 双极型技术
bipolar array 双极型阵列
bipolar bit slice 双极型位片微处理机
bipolar cell 双极单元
bipolar chip 双极集成电路
bipolar design 双极设计
bipolar driver 双极驱动器
bipolar fet integrated circuit 双极 场效应晶体管集成电路
bipolar filter 双极型滤波器
bipolar input 双极型输入
bipolar insulated gate fet ic 双极 绝缘栅场效应晶体管集成电路
bipolar integrated circuit 双极集成电路
bipolar ion implantation 双极型掐用离子注入
bipolar isolation 双极集成电路单元隔离
bipolar junction fet technology 双极结型场效应晶体管工艺
bipolar logic 双极型逻辑
bipolar mos device 双极金属氧化物半导体掐
bipolar mos technology 双极金属氧化物半导体技术
bipolar operation 双极型动作
bipolar performance 双极型特性
bipolar process 双极工艺
bipolar sample hold 双极型取样保持集成电路
bipolar speed 双极集成电路速度
bipolar transistor 双极性晶体管
bipolar wafer 双极型晶片
bird's beak bonding 鸟嘴形键合法
birefringence 双折射
bisignal zone 等强信号区
bismuth 铋
bistability 双稳定性
bistable 双稳定的
bistable circuit 双稳电路
bistable laser 双稳态激光器
bistable multivibrator 双稳态多谐振荡器
bistable operation 双稳态工作
bistable optical device 双稳态光学掐
bistable unit 双稳态部件
bit 比特
bit error rate 比特误差率
bit error ratio 比特误差率
bit rate 位速率
bit slice 位片
bit slice architecture 位片结构
bit slice microprocessor 位片
bit synchronization 比特同步
bits per second 位秒
black and white channel 黑白通道
black and white holography 黑白全息照相术
black and white picture 黑白图象
black and white television 黑白电视
black body 黑体
black body radiation 黑体辐射
black clipping 黑色电平限幅
black compression 黑色信号压缩
black content 黑色含量
black level 黑色电平
black level clamping 黑电平箝位
black level shift 黑电平偏移
black out 熄灭
black out level 熄灭电平
black out of video signals 视频信号熄灭
black out pulse 熄灭脉冲
black out signal 熄灭信号
black peak 黑色峰值
black reference level 黑色基准电平
black saturation 黑色饱和
black signal 黑信号
black stretch 黑色信号展宽
black to white amplitude range 黑白间振幅宽度
black to white frequency swing 黑白间频率差
black white monitor 黑白图象监控装置
blade 刀片
blank 表格
blank groove 未调纹无声槽
blank plate 盲板
blanked videosignal 消隐视频信号
blanket diffusion 全面扩散
blanket exposure 全面曝光
blanking 熄灭
blanking amplifier 消隐脉冲放大器
blanking circuit 消隐电路
blanking level 消隐电平
blanking pulse 熄灭脉冲
blanking signal 消隐信号
bld 梁式引线掐
bleached hologram 漂白的全息图
bleeding 模糊不清
blemish 污迹
blind approach 盲目进场
blind area 静区
blind bonding 自动热压焊
blind sector 萤光屏阴影区
blind zone 静区
blinker light 闪光信闪
blip 尖头信号
blister 天线屏蔽器雷达天线罩
bloating 膨胀
block antenna 共用天线
block compiler 积木块版编辑器
block condenser 隔羚容器阻塞电容器
block construction 部件结构
block level 封锁电平
block level design 积木块级设计
block replicate approach 单元重复方法
blocking 阻塞
blocking capacitor 隔羚容器阻塞电容器
blocking condenser 隔羚容器阻塞电容器
blocking junction 阻挡结
blocking layer 阻挡层
blocking mask 屏蔽掩模
blocking oscillator 间歇振荡器
blocking resistance 阻塞电阻
blocking signal 闭锁信号
blocking voltage 闭锁电压
blooming 模糊现象
blue adder 蓝色加法器
blue beam 蓝电子束
blue black level 蓝路黑电平
blue color difference modulator b y灯器
blue color difference signal b y信号
blue convergence circuit 蓝会聚电路
blue gain control 蓝色增益第
blue modulator 蓝色信号灯器
blue peak level 蓝信号峰值电平
blue shift 蓝向移位
blue video voltage 蓝色视频电压
blurred image 模糊图象
blurring 模糊不清
bmos 反向栅偏置金属氧化物半导体
bn source 氮化硼扩散源
board 板
board radio station 船内无线电台
boat 小舟
boat evaporator 小舟式蒸发器
body centred cubic lattice 体心立方晶格
body centred lattice 体心晶格
body centred orthorhombic lattice 体心斜方晶格
body effect 衬底效应
bolcking condenser 藕合电容器
bolometer 辐射热计
bolometer mount 热辐射计支架
bombardment 轰击
bombardment by ions 离子轰击离子冲击
bombardment induced conductivity 由电子轰积致的电导
bombardment of electrons 电子轰击
bond 热压焊接
bond failure 结合损坏
bond interface 焊接界面
bond lift off 键合处剥离
bond pad definition 焊盘图象形成
bond peel 键合处剥离
bond pull test 键合牵引试验
bond sequence 键合工序
bond strength 粘合强度
bonder 热压焊接机
bonder capillary 焊接颇毛细管
bonding 焊接
bonding adhesive 芯片键合用粘合剂
bonding agent 粘合剂
bonding area 焊接区
bonding cycle 焊接周期
bonding fixture 焊接夹具
bonding layer 粘合层
bonding lead 焊接引线
bonding machine 热压焊接机
bonding pad 焊盘
bonding speed 焊接速率
bonding technique 焊接技术
bonding tip 焊头
bonding wire 焊线
bonding wire connection 焊线连接
booster 光放大器
booster amplifier 辅助放大器
booster diode 升压二极管
booster pump 增压泵
booster transmitter 辅助发射机
bootstrap amplifier 自益放大器
bootstrap circuit 自举电路
bootstrapping 自举电路
boron 硼
boron base 掺硼基极
boron depletion 掺硼耗尽
boron diffusion 硼扩散
boron doping 掺硼
boron implantation 硼离子注入
boron implanted silicon 注硼硅
boron nitride pellicle 氮化硼薄膜
boron semiconductor 掺硼半导体
borosilicate glass 硼硅玻璃
bottom of conduction band 导带底
bottomside mark 底面标记
boule 晶锭
boule ruby 红宝石梨晶
bounce 标志大小的跳动
bouncing motion 图象跳动
bound charge 束缚电荷
bound modes 耦合方式
boundary 边界
boundary contrast 边界对比度
boundary defect 界面缺陷
boundary layer 边界层
boundary layer rectifier 阻挡层整流
boundary marker 边界指点标
boundary region 边界区
boundary surface 边界面
box 隐埋氧化物隔离工艺
box diffusion 箱式扩散
box horn 喇叭形天线
bpf 带通滤波器
bps 位秒
bpt 双极性晶体管
bragg reflection 布雷格反射
braided wire 编织线
branching lightguide 分支光波导管
branching off lightguide 光波导分路
braun tube 布老盾
brazing 钎焊
brazing flux 硬焊用焊剂
brazing preform 硬焊用盘料
breadboard 摸拟板
breadboard construction 模型结构
breadboarding 模拟板试验
breadth 幅度
break 破坏
breakdown 故障
breakdown current 哗电流
breakdown noise 哗噪声
breakdown plasma 气体放电等离子体
breakdown rating 额定哗电压
breakdown test 破坏试验
breakdown voltage 哗电压
breast microphone 胸挂送话器
breastplate microphone 胸挂送话器
bremsstrahlung radiation 制动辐射
brevity code 缓冲存储器
brewster window 布留斯特窗
bridge 电桥
bridge amplifier 桥式放大器
bridge arm 电桥比例臂
bridge circuit 桥联式电路
bridge douplex system 桥接双工制
bridged t filter 桥接 t 型滤波器
bridging 桥接
bridgman method 布里兹曼法
bridgman stockbarger method 布里兹曼 斯托克巴杰法
bright finish 镜面抛光
brightening pulse 照闽冲
brightness 亮度
brightness control 亮度控制
brightness distribution 亮度分布
brightness signal 亮度信号
brightness variations 亮度变化
brillouin zone 布里渊区
broad band 宽频带
broad band absorber 宽带吸收器
broad band coupler 宽频带耦合器
broad pulse 帧同步脉冲
broadband loudspeaker 宽频带扬声器
broadband network 宽带网络
broadband noise 宽频带噪声
broadband stub 宽频带匹配段
broadband system 宽频带系统
broadband transmission 宽频带传输
broadcast receiver 广播收音机
broadcast reception 广播接收
broadcast studio 播音室
broadcast transmitter 广播发射机
broadcasting 广播
broadcasting antenna 广播天线
broadcasting emitter 广播发射机
broadcasting wave length 广播波长
broadening 扩展
broadside array 垂射天线阵
brush scrubber 刷子清洗装置
bsf 背面电场
bsg 硼硅玻璃
bt cut quartz bt 截割水晶
btab 链式隆起焊盘带自动焊接
bubble 磁泡
bubble domain 泡畴
bubble domain memory 磁泡存储器
bubble memory chip 磁泡存储凭片
bubble memory circuit 磁泡存储漂成电路
bubble tester 鼓泡试验器
buck 标记
bucket 桶形电极
bucket brigade device 斗链式掐
bucket brigade memory 斗链式存储器
bucket brigade shift register 斗链式移位寄存器
buckling 弯曲
buffer 缓冲存储器
buffer amplifier 缓冲放大器
buffer gas 缓冲气体
buffer memory 缓冲存储器
buffer stage 缓冲级
buffer storage 缓冲存储器
buffer tube 缓冲管
buffer valve 缓冲管
buffered etch 缓冲腐蚀剂
buffered etching solution 缓冲腐蚀剂
bug 程序错
build up time 上升时间
building block 积木式部件
building block concept 积木式设计原理
building out capacitor 附加电容器
built in antenna 内装式天线
built in field 内建电场
built in self testing 内部自检
bulb 球管
bulk acoustic wave 体声波
bulk channel ccd 体沟道电荷耦合掐
bulk charge 体电荷
bulk cmos process 体效应互补金属氧化物半导体工艺
bulk defect 体积缺陷
bulk effect ampifier 体效应放大器
bulk effect integrated circuit 体效应集成电路
bulk eraser 消磁器
bulk getter 容积收气剂
bulk lifetime 体内寿命
bulk loss 容积损失
bulk mis 体效应金属绝缘体半导体
bulk molding compound 块状模塑料
bulk photoconductive resister 大块光敏电阻器
bulk properties 体特性
bulk resistor 体积电阻器
bulk sputtering 容积溅射
bulk substrate 大块衬底
bump 隆起处
bump contact 隆起处
bump pad 隆起处
bump squash 隆起焊盘压扁
bumped chip 隆起焊盘型芯片
bumped chip carrier 隆起焊盘型芯片座
bumped component 隆起焊盘型元件
bumped tape 链式隆起焊盘带
bumped tape automated bonding 链式隆起焊盘带自动焊接
bumping 隆起焊盘形成
bumping technology 隆起焊盘形成技术
bunch 束
bunch of particles 粒子束
buncher 群聚器
bunching 聚束
bunching mechanism 聚束机理
bundle 束
buried antenna 地下天线
buried channel ccd 埋沟电荷耦合掐
buried channel fet 埋沟场应晶体管
buried channel mos 埋沟金属氧化物半导体
buried channel transistor 埋沟晶体管
buried collector dopant 隐埋集电极用掺杂剂
buried layer 隐埋层
buried oxide 隐埋氧化物
buried oxide isolation process 隐埋氧化物隔离工艺
buried region 隐埋区
burn in 超负荷试验
burning of microphone 微音瓶精的烧结
burr 毛刺
burst 色同步定向信号
burst amplifier 彩色同步信号放大器
burst controlled oscillator 色同步控制振荡器
burst flag generator 色同步选通脉冲发生器
burst locked oscillator 色同步锁定振荡器
burst phase 彩色同步信号的副载波相位
burst separator 色同步分离器
bus 母线
bus network 总线网
business telecommunication 商用通信
business telephone 商用电话
busy flash signal 占线信号
busy line 忙线
busy relay 占线继电器
busy test 占线测试
butt joint 对接
butt welding 对顶焊接
butterfly circuit 蝴蝶形电路
button 电钮
button type dial 按钮式拨号盘
button type telephone dial 按钮式电话拔号盘
by pass capacitor 分羚容器
by pass condenser 分羚容器
bypass 旁路
bypass connection 旁路连接
bypass line 旁路线c to c transport 盒对盒薄片转移
cable 电缆
cable code 水线电码
cable concentrator 集线器集中器
cable connector 电缆连接器
cable drum 电缆卷筒
cable duct 电缆槽
cable holder 电缆支架
cable installation 电缆敷设
cable interference 电缆干扰
cable joint 电缆接头
cable laying 电缆敷设
cable locator 电缆探测器
cable message 水线电报
cable set 电缆附件
cable shield 电缆包皮
cable television 电缆电视
cable terminating set 电缆终端
cable transmission 电缆传输
cablegram 水线电报
cad 计算机辅助设计
cad system 计算机辅助设计系统
cad technique 计算机辅助设计技术
cad tools 计算机辅助设计工具
cad workstation 计算机辅助设计工拙
cadmium 镉
cage antenna 笼形天线
cage dipole 笼形偶极子
calcination 烧成
calculagraph 计时器
calibration test 校准试验
call 呼叫
call acceptance 呼叫接受
call count 通话计数
call finder 呼叫选择器
call forward operation 呼叫转发电话
call forwarding 呼叫转发电话
call in operation 呼叫转发电话
call indicator 呼叫指示器
call sign 呼号
call signal 呼叫信号
call switch 呼叫转换开关
call waiting 得等待
call wire 呼叫线路
callback 回叫
called line 被叫线
calling cord 呼叫塞绳
calling device 呼叫装置
calling key 呼叫键
calling lamp 呼叫灯
calling machine 铃龙
calling plug 呼叫插塞
calling relay 呼叫继电器
calling subscriber 中用户
calorimetric power measurement 热量计式功率测量法
camber 挠曲
camber free ceramics 无弯曲的陶瓷
camera 照相机;摄像机
camera alignment 摄像机导
camera chain 摄像机系统
camera lens 摄影机镜头
camera signal 电视摄像机信号
camera tube 电视摄像管
camp 计算机辅助掩模制备
camp on 得等待
can 金属盒
canal rays 阳极射线
cancellation 熄灭
cancelling 熄灭
canonical form 标准形
cap layer 保护层
capacimeter 电容测量表
capacitance 电容
capacitance box 电容箱
capacitance meter 电容测试器
capacitive bridge 电容电桥
capacitive coupling 电容性耦合
capacitive diaphragm 电容性窗膜
capacitive feedback 电容反馈
capacitive iris 电容性窗膜
capacitive load 电容性负载
capacitive reactance 电容性电抗
capacitive sawtooth generator 电容式锯齿波发生器
capacitive strip 电容带
capacitive susceptance 电容性电纳
capacitive tuning 电容党
capacitive unbalance 电容不平衡
capacitive voltage divider 电容分压器
capacitive window 电容性窗口
capacitively loaded line 电容性负载线
capacitor 电容器
capacitor coupled fet logic 电容耦合式场效应晶体管逻辑
capacitor network 电容气络
capacitor parasitics 寄生电容器
capacitor plate 电容偏板
capacitor probe 电容式探针
capacitor voltage characteristic 电容 电压特性
capacity 电容量
capillary clogging 毛细管堵塞
capless annealing 无覆盖退火
capping 覆盖
capping annealing 覆盖退火
capping oxidation 覆盖氧化
capping oxide 覆盖氧化物
capstan 织轮
capstan amplifier 转矩放大器
capsulation 封装
captive production 专用生产
capture 捕获
capture of particles 粒子俘获
capture region 俘获区域
capture time 捕获时间
car radio 汽车收音机
car receiver 汽车接收机
carbon 碳
carbon diaphragm 炭膜
carbon dioxide laser 二氧化碳激光器
carbon granule microphone 炭粒式话筒
carbon mircrophone 炭精式话筒
carbon resistor 碳电阻器
carbonization 增碳
carcinotron 返波管
carcinotron oscillator 返波管振荡器
card 卡
cardioid diagram 心脏形曲线图
cardioid microphone 心形传声器单向传声器
cardioid pattern 心脏形曲线图
cardioidal reception 心形方向图接收
carrier 载波
carrier amplitude 载波振幅
carrier amplitude regulation 载波幅度蝶
carrier balance 载波平衡
carrier capture 载劣俘获
carrier carrier interaction 载劣间相互酌
carrier channel 载波信道
carrier current telegraphy 载波电抱
carrier density 载劣密度
carrier dificiency 载劣耗尽
carrier drift 载劣漂移
carrier flutter 载波颤动
carrier frequency 载波频
carrier gas 载气
carrier generation 载劣生成
carrier heating 载劣加热
carrier killer 载劣寿命扼杀剂
carrier leak 载波泄漏
carrier lifetime 载劣寿命
carrier noise 载波噪音
carrier pair generation 载劣对发生
carrier recombination 载劣复合
carrier socket 插座
carrier spacing 载波间隔
carrier storage 载劣存储
carrier synchronization 载波同步
carrier tape 带式载体
carrier telegraphy 载波电抱
carrier to noise ratio 载波信噪比
carrier transfer 载劣传输
carrier transfer device 载劣转移掐
carrier transit time 载劣渡越时间
carrier transmission 载波传输
carrier transport 载劣输运
cartridge 盒式磁带
cascade 级联
cascade amplifier 级联放大器
cascade connected 级联的
cascade connection 级联
cascade image tube 级联摄象管
cascade solar cell 级联太阳电池
cascaded laser 级联激光器
cascode 栅地 阴地放大器共射 共基放大器
cascode amplifier 阴地栅地级联放大器涡尔曼放大器
case 管壳
casing 外壳
cassegrain antenna 卡塞格伦天线
cassette 箱
cassette based transfer 盒式传送
cassette loader 盒装载器
cassette pitch 盒节距
cassette sampling 向盒取样
cassette station 向盒装卸台
cassette to cassette approach 盒对盒装卸技术
cassette to cassette coater 盒间装卸式涂敷器
cassette to cassette feed 盒对盒装卸操作
cassette to cassette handler 盒对盒装卸装置
cassette to cassette operation 盒对盒装卸操作
cassette to cassette wafer transport 盒对盒薄片转移
casting 铸模
casting resin 充填尸
catalogue integrated circuit 集成电路样本
catalogue microprocessor 微处理机样本
catalytic oxidation 催化氧化
cataphoresis pumping 电泳泵激
cataphoretic laser 电泳激光器
catcher 收注栅
catcher resonator 收注栅
catcher space 收注栅空间
catchment area 积水面积
cathode 阴极
cathode bias 阴极偏压
cathode coupled amplifier 阴极耦合放大器
cathode current 阴极电流
cathode dark space 阴极暗区
cathode fall space 阴极电位降空间
cathode follower 阴极跟随器
cathode glow 阴板电辉
cathode heater 阴极加热器
cathode heater assembly 阴极加热器
cathode heating time 阴极加热时间
cathode modulation 阴极灯
cathode poisoning 阴极中毒
cathode ray 阴极射线
cathode ray beam intensity modulation 阴极射线束强度灯
cathode ray oscillograph 阴极射线示波器
cathode ray storage tube 阴极射线存储管
cathode ray tube 阴极射线管
cathode spot 阴极斑点
cathode spraying 阴极溅射
cathode sputtering 阴极溅射
cathodoluminescence 电子致发光
cathodoluminescent display 阴极线发光显示器
cation resin 阳离子交换尸
cavity 空腔谐振器
cavity adjustment 谐振腔蝶
cavity filter 空腔滤波器
cavity frequency meter 空腔频率计
cavity laser 空腔激光器
cavity length 谐振腔长度
cavity linewidth 谐振谱线宽度
cavity magnetron 空腔谐振磁控管
cavity maser 共振腔脉泽
cavity mirror 谐振腔反射镜
cavity mode 空腔共振模
cavity reflector 谐振腔反射镜
cavity resonator 空腔共振器
cavity stability 谐振腔稳定度
cavity tuner 空腔党器
cavity volume 空腔谐振棋积
cavity wavemeter 谐振腔波长计
ccd 电荷耦合掐
ccd filter 电荷耦合掐滤波器
ccd image array 电荷耦合掐成像阵列
ccd imager 电荷耦合成像器
ccd logic 电荷耦合掐逻辑
ccd multiplexer 电荷耦合掐多路转换器
ccd readout 电荷耦合读出设备
ccd shift register 电荷耦合移位寄存器
ccfl 电容耦合式场效应晶体管逻辑
cci 电荷耦合成象器
ccl 电荷耦合逻辑电路
ccram 电荷耦合随机存取存储器
cd 冲突检出
cd amplifier 源输出放大器
cdi 集电极扩散隔离
cdip 陶瓷双列直插式外壳
cell 电池
cell array 单元阵列
cell density 单元密度
cell library 单元库
cement 水泥
cement bonding 粘合剂接合
center 中心
center frequency 中心频率
center of a band 频带中心
center to center spacing 中心间距
centering 定中心
centering control 准心蝶器居中蝶
central antenna television 共用天线电视
central battery system 共电制
central exchange 电话总机
central office 电话总机
central processor unit 中央处埋机
central telephone exchange 中央电话局
centralized control 中心控制
centre 电话总机
centre holes 输送孔
centrifuge 离心机
centrifuge testing 离心机试验
centring control 准心蝶器居中蝶
cer dip 陶瓷双列直插式外壳
ceramic and metal package 金属陶瓷外壳
ceramic base 陶瓷衬底
ceramic cap 陶瓷盖
ceramic carrier 陶瓷芯片座
ceramic dip 陶瓷双列直插式外壳
ceramic encapsulated ic 陶瓷封装集成电路
ceramic magnet 陶质磁体
ceramic metallization 陶瓷金属化
cerdip assembly 陶瓷双列直插式外壳组装
cerdip package 陶瓷双列直插式外壳
cerenkov losses 切伦科夫损失
cerenkov radiation 切伦科夫辐射
cerium 铈
cerium glass 铈玻璃
cermet 金属陶瓷材料
cermet approach 金属陶瓷工艺
cermet conductor 金属陶瓷导体
cermet material 金属陶瓷材料
cermet process 金属陶瓷工艺
cesium 铯
cesium antimonide photocathode 锑化铯光电阴极
cesium atomic beam frequency standard 铯原子射束的频率标准
cesium phototube 铯光电管
chaff 箔条
chain 电路
chalcogenide glass 硫属化合物玻璃
chalcogenide memory 硫族化合物存储器
challenge 询问
chamber wash 洗室
channel 沟道;信道
channel algorithm 通道算法
channel amplifier 信道放大器
channel balancing 通道平衡
channel bank 通道纽
channel capacity 信道容量
channel conversion 信道变换
channel current 沟道电流
channel cutoff 沟道截止
channel diffusion 沟道扩散
channel electron multiplier 通道电子倍增器
channel group 通道纽
channel injection 沟道注入
channel leakage 沟道漏电
channel mobility 沟道载劣迁移率
channel oxide 沟道区域氧化物
channel phasing 通道定相
channel pulse 推进脉冲
channel region 沟道区域
channel selector 信道选择器
channel separating filter 信道分离滤波器
channel separation 频道分隔
channel stopper 沟道截断环
channel stopper impurity 沟道截断环杂质
channel stopper region 沟道截断区
channel switching 通道转换
channel type 沟道导电类型
channeling 沟道酌
chanstop 沟道截断环
character code 字符码
character display device 字符显示器
character repertoire 字符集
character set 字符集
characteristic admittance 特性导纳
characteristic frequencies 特盏率
characteristic frequency 特盏率
characteristic impedance 特件阻抗
charactron 显象管
charge 电荷
charge carrier 载荷子
charge carrier diffusion 载劣扩散
charge carrier generation 载劣发生
charge carrier injection 载劣注入
charge carrier noise 载波噪音
charge compensation 电荷补偿
charge coupled array 电荷耦合掐阵列
charge coupled cell 电荷耦合单元
charge coupled circuit 电荷耦合集成电路
charge coupled device 电荷耦合掐
charge coupled fet 电荷耦合 场效应晶体管结构
charge coupled imager 电荷耦合成象器
charge coupled logic 电荷耦合逻辑电路
charge coupled memory 电荷耦合存储器
charge coupled ram 电荷耦合随机存取存储器
charge coupled register 电荷耦合寄存器
charge depletion 载劣耗尽
charge distribution 电荷分布
charge injection device 电荷注入掐
charge migration 电荷迁移
charge packet 电荷包
charge recombination center 截劣复合中心
charge storage capacitor 电荷存储电容器
charge storage diode 阶跃恢复二极管
charge storage tube 电荷存储管
charge transfer amplifier 电荷传输放大器
charge transfer channel 电荷转移沟道
charge transfer circuit 电荷传输集成电路
charge transfer device 电荷转移掐
charge transfer structure 电荷转移结构
charge transit time 电荷渡越时间
charge transport 电荷输送
chargeable call 收费通话
charged particle 带电粒子
charged particle analyzer 带电粒子分析器
charging indication 费用指示
chatter 锯型边缘;接点振动音
chebyshev filter 切比雪夫滤波器
check 检查
check test 检查试验
checking 检查
cheese antenna 盒形天线
chemical affinity 化学亲合力
chemical bond 化学键
chemical deposition 化学淀积
chemical durability 化学耐久性
chemical etch polishing 化学腐蚀抛光
chemical etchant 化学腐蚀剂
chemical laser 化学激光器
chemical pumping 化学激励
chemical structure fault 化学结构缺陷
chemical vapor deposition 化学汽相淀积
chemical vapor deposition film 化学汽相淀积膜
chemical vapor deposition reactor 化学汽相淀积反应器
chemisorption 化学吸着
chip 芯片
chip and wire approach 芯片 细线方法
chip and wire hybrid ic 芯片 细线混合电路
chip approach 多片技术
chip assember 片子装配器
chip assembly 片子装配
chip board 芯片板
chip bonding 芯片焊接
chip bonding pad 芯片焊盘
chip capacitor 片状电容器
chip carrier 芯片座
chip carrier assembly 芯片载体组装
chip component 片状元件
chip density 芯片密度
chip design 芯片设计
chip dicing 切成小片
chip diode 片状二极管
chip edge 芯片边缘
chip family 集成电路系列
chip grid 芯片布置网
chip holder 芯片座
chip integrated circuit 片状集成电路
chip layout 芯片布置布线图
chip level complexity 芯片级致密度
chip marking 芯片打标
chip mounting 芯片组装
chip mounting area 芯片安装面积
chip on board process 基板上芯片装配工艺
chip on tape 带式载体上的芯片
chip placer 芯片装入机
chip positioner 芯片定位器
chip prober 芯片探测器
chip processing 芯片处理
chip profile 芯片剖面
chip real estate 芯片有效面积
chip resistor 片状电阻器
chip set 芯片组
chip set processor 多片处理机
chip to header bond 芯片 管座焊接
chip trimming 片状电阻蝶
chmos 互补高性能金属氧化物半导体结构
choke 扼力
choke coupling 扼力耦合
choke joint 扼凉缘接头
choke piston 扼令塞
choked flange 阻波凸缝
cholesteric liquid crystal 胆甾醇结构液晶
chopped pulse 缩短脉冲
chroma 色度
chroma control 彩色强度蝶器
chroma key 色度键
chromatic aberration 色差
chromatic channel 色度通道
chromatron 彩色显象管
chrome mask 铬掩模
chrome master 铬掩模原版
chrome photomask 铬掩模
chrome plate 铬掩模
chrominance 色度
chrominance band 色度频带
chrominance carrier reference 彩色载波基准频率
chrominance channel 色度通道
chrominance demodulation 色度信号解调
chrominance demodulator 色度解调
chrominance modulator 色度信号灯器
chrominance signal 色度信号
chrominance subcarrier 色度副载波
chrominance subcarrier generator 色度副载波发生器
chrominance synchronisation 彩色同步
chromoscope 彩色显象管
chronopotentiogram 测时电位图
chronopotentiometry 计时电位测量法
chronoscope 计时表
cigfet 互补隔离栅场效应晶体管
cil 电立入逻辑
cipher 密码
cipher mask 数码消隐
ciphering 译成密码
ciphony 密码电话
circuit 电路
circuit analysis 电路分析
circuit closing connection 闭路接法
circuit closing device 接通装置
circuit component 电路元件
circuit density 电路装配密度
circuit design 电路设计
circuit diagram 电路图
circuit element 线路元件
circuit engineering 电路技术
circuit functional checkout 电路功能检查
circuit interconnect pattern 电路互连图案
circuit layout 电路布置图
circuit orbit 圆形轨道
circuit polarizer 圆偏振器
circuit requirements 电路技术条件
circuit simulation 电路模拟
circuit switching 通道转换
circuit technique 电路技术
circuitry 电路图
circular cavity 圆形空腔谐振器
circular cross section 圆形截面
circular guide 圆导轨
circular polarization 圆偏振
circular polarized wave 圆极化波
circular ring laser 环形激光器
circular scanning 圆扫描
circular sweep 圆扫描
circular waveguide 圆形波异管
circularly polarized light 圆偏振光
circularly polarized wave 圆极化波
circulating beam 环柳
circulating gas laser 循环式气体激光器
circulating liquide laser 循环式液体激光器
circulator 循环器
circulator switch 循环平开关
city exchange 市内电话交换局
cl 闭合环路
clad layer 镀层
clad optical fiber 涂层光学纤维
cladding 电镀
cladding thickness 覆盖厚度
clamp amplifier 箝位放大器
clamp diode 箝位二极管
clamp on 得等待
clamp pulse 箝位脉冲
clamping 电平固定
clamping circuit 箝位电路
clamping diode 箝压二极管
class 100 clean environment 100级洁净环境
class 100 clean room 100级洁净室
class a amplifier a类放大器
class a modulation 甲类灯
class a modulator 甲类灯器
class a operation a类运用
class ab amplifier ab类放大器甲乙类放大器
class ab operation ab类运用
class b amplifier b类放大器
class b modulation 乙类灯
class b modulator 乙类灯器
class b operation b类运用
class c operation c类运用
class d amplifier d类放大器
classfication 分类
classifier 分类机
clean area 净化室
clean audit 洁净度检测
clean bench 洁净台
clean machine 清洗装置
clean room 净化室
clean room compatibility 洁净室适合性
clean room environment 洁净室环境
clean room garment 洁净室工
clean workstation 洁净工拙
cleaner 清洗装置
cleaning 清洗
cleaning boat 清洗小舟
cleanup 清洗
clear back signal 话终信号
clearing relay 话终继电器
clearing signal 话终信号
cleat 夹板
cleavage 解理
click filter 喀呖声滤波器
clipper 限幅器
clipper amplifier 限幅放大器
clipper circuit 限制器限幅器;限幅器熄电路
clipper diode 限幅二极管
clipper tube 熄管
clipping 限幅
clipping level 限幅电平
clock frequency 时钟频率
clock pulse rate 时钟频率
clock pulse source 时钟脉冲源
clock rate 时钟频率
close packed lattice 密集晶格
close packing 密堆积
closed circuit 闭合电路
closed circuit cooling 闭路冷却
closed circuit television 闭路电视
closed cycle 闭合环路
closed loop 闭合环路
closed shell 闭合壳层
closed tube diffusion 闭管扩散
closed tube oxidation diffusion system 闭管氧化 扩散系统
clover leaf aerial 苜蓿叶形天线
clover leaf antenna 苜蓿叶形天线
clutter 杂乱回波
clutter noise 杂波噪声
clutter reflections 地物反射
cmis 互补型金属绝缘体 半导体结构
cml 电联关逻辑
cml gate cml门电路
cmos cmos结构
cmos integrated circuit cmos集成电路
cmos latchup cmos结构的闭锁
cmos on sapphire 蓝宝石上互补金属氧化物半导体
cmos on sapphire microprocessor 蓝宝石上互补金属氧化物半导体微处理机
cmos on sapphire process 蓝宝石上互补金属氧化物半导体工艺
cmos process cmos工艺
cmosic cmos集成电路
cmr 共横抑制
cmrr 共模抑制比
cmv 共模电压
co channel interference 同波道干扰;同频道干扰
co diffusion 同时扩散
co3laser communication 二氧化碳激光通信
coal microphone 炭精送话器
coarse alignment 粗对准
coarse tuning 粗调平直党
coast station 海岸台
coastal refraction 海岸折射
coastal transmitter 海岸发射机
coated optical fiber 涂层光学纤维
coater 涂料器
coating 覆盖
coating composition 涂层成分
coating striation 涂层条纹
coaxial antenna 同轴天线
coaxial attenuator 同轴衰减器
coaxial cable 同轴电缆
coaxial cavity 同轴空腔谐振器
coaxial connector 同轴连接器
coaxial filter 同轴滤波器
coaxial line 同轴线
coaxial line resonator 同轴线谐振器
coaxial phase shifter 同轴移相器
coaxial probe 同轴探针
coaxial resonator 同轴谐振器
coaxial short 同轴短路
coaxial stub 同轴短线
coaxial switch 同轴转换开关
coaxial to waveguide transducer 同轴线 波导管匹配变换器
coaxial transmission line 同轴传输线
coaxial tuner 同轴典器
cockcroft walton accelerator 科克罗夫特 沃尔顿加速器
codan 载频控制的干扰抑制器
code 符号
code book 电码本
code chip 代码单元
code dictionary 电码本
code division multiple access 分码多址访问
code element 代码单元
code message 编码信息
code selector 选码器
code signal 编码信号
code translation 译码
code unit 代码单元
codec 编码译码器
coded hologram 编码全息照相
coded signal 编码信号
coder 编码器
coder decoder 编码译码器
coding 编码
coefficient of feedback 反馈系数
coefficient of transparency 透玫数
coevaporation 同时蒸发
coherence measurement 相干性测量
coherent acceleration 相干加速
coherent amplification 相干放大
coherent detector 相参检波器相干检波器
coherent light 相干光线
coherent light flux 相干光通量
coherent light holography 相干光全息术
coherent optical radiation 相干光辐射
coherent optics 相干光学
coherent oscillation of particles 粒子束相干振荡
coherent pulse radar 相干脉冲雷达
coherent radar 相干雷达
coherent radiation 相干辐射
coherent reception 相干接收
coherent scattering 相干散射
coherent scattering cross section 相干散射截面
coherent signal 相干信号
coherent sychrotron radiation 相干同步加速气射
coherer 粉末检波器
coil 绕纽
coil aerial 环形天线
coil antenna 环形天线
coil getter 螺旋管吸气剂
coin box 投币箱
coin telephone 投币式公用电话
coincidence analyzer 重合分析器
coincidence circuit 重合电路
coincidence gate 与电路
coincident current selection 电霖合法
cold carrier 冷载劣
cold cathode 冷阴极
cold cathode gaseous laser 冷阴极气体激光器
cold cathode ionization gauge 冷阴极电离真空计
cold cathode lamp 冷阴极管
cold cathode thratron 冷阴极闸淋
cold cathode tube 冷阴板电子管
cold cathode valve 冷阴极管
cold crucible technology 冷 坩埚技术
cold forming 冷模压
cold measurement 冷测定
cold processing technique 冷加工技术
cold trap chiller 冷捕集器
cold weld 冷焊
collection efficiency 收集效率
collective line 共用线
collector 集电极
collector base capacitance 集电极基极电容
collector base diode 集电极基极二极管
collector breakdown 集电极哗
collector capacitance 集电极基极电容
collector characteristic 集电极特性
collector circuit 集电极电路
collector current 集电极电流
collector diffusion 集电极扩散
collector diffusion isolation 集电极扩散隔离
collector diffusion isolation technique 集电极扩散隔离技术
collector electrode 集电极
collector junction 集电极结
collector junction capacitance 集电极结电容
collector mask 集电极掩膜
collector potential 集电极电位
collector resistance 集电极电阻
collector to base capacitance 集电极 基极电容
collector to base conductance 集电极 基极电导
collector to emitter conductance 集电极 发射极电导
collimation 视准
collimator 准直仪
collinear array 直排天线阵
collision 碰撞
collision broadening 碰撞展宽
collision course indicator 航向防撞指示器
collision cross section 碰撞横截面
collision detection 冲突检出
collision excitation 碰撞激发
collision ionization 碰撞电离
collision loss 碰撞损失
collision number 碰撞数
collisional heating 碰撞发热
color balance 彩色平衡
color balance control panel 彩色平衡控制盘
color bar 彩条
color bar generator 彩条信号发生器
color bar signal 彩条信号
color bar test pattern 彩条测试图
color brilliance 彩色亮度
color cathode ray tube 彩色阴极射线管
color center formation 色中心形成
color channel 彩色通路
color coder 彩色编码器
color contamination 彩色混杂
color contrast 彩色对比度
color control 色彩蝶
color converter 彩色图象变换器
color decoder 彩色信号解码器
color difference information 色差信息
color difference signal 色差信号
color display device 彩色显示器
color dividing electrode 彩色分离电极
color dividing mask 彩色分离掩膜
color flicker 彩色闪烁
color hologram 彩色全息照相
color holography 彩色全息照相术
color image 彩色图象
color image separation 彩色图象分离
color information 彩色信息
color killer 消色器
color kinescope 彩色显象管
color lock 彩色同步
color matrix 彩色矩阵
color modulator 彩色灯器
color monitor 彩色监视器
color noise 彩色信号杂波
color overload 色过饱和
color pattern 彩色测试图
color pattern generator 彩色图样信号发生器
color phase 彩色相位
color pickup tube 彩色显象管
color picture screen 彩色电视荧光屏
color picture signal 彩色图象信号
color picture tube 彩色显象管
color picture tube of 110 110偏转角彩色显象管
color primaries 基色
color purity adjustment 色纯度蝶
color purity coil 色纯度控制线圈
color purity ring 色纯度蝶环
color receiver 彩色电视接收机
color reference signal 彩色基准信号
color resolution 彩色分解力
color stripe filter 彩条滤色器
color subcarrier lock 彩色副载波锁相
color synchronizing 彩色同步
color television 彩色电视
color television picture tube 彩色显象管
color television receiver 彩色电视接收机
color test signal 彩色测试信号
color tv tube 彩色显象管
colorimetry 比色法
colour breakup 色乱
colour centre 彩色中心
colour matching 配色
colour picture tube 彩色显象管
colour sensation 色感觉
colour sensitivity 感色灵敏度
colpitts oscillator 考毕兹振荡器
comb filter 梳形滤波器
comb line 梳形线
comb structure 梳状结构
combination connector 通用终接机
combination frequencies 组合频率
combinational logic function 组合逻辑函数
combined aerial 共用天线
combiner amplifier 组合放大器
command 命令
common aerial 共用天线
common base connection 共基极连接
common cathode 共阴极
common collector connection 共集电极连接
common drain amplifier 源输出放大器
common emitter connection 共发射极连接
common mode rejection 共横抑制
common mode rejection ratio 共模抑制比
common mode voltage 共模电压
communication 通信
communication band 通信频带
communication cable 通信电缆
communication channel 通信信道
communication engineering 通信工程学
communication line 通信线路
communication network 通信网络
communication protocol 通信协议
communication range 通信范围
communication satellite 通信卫星
communication satellite net 通信卫星系统
communication security 通信保密
communication system 通信系统
community antenna 共用天线
community television 集体电视
commutation 换向
commutation system 交换系统
compact laser 小型激光器
compaction 数据压缩
compander 压伸器
companding 压缩扩展
comparator bridge 比较电桥
compatibility 互换性
compatible color television 兼容彩色电视
compatible integrated circuit 兼容集成电路
compensated impurity 补偿杂质
compensated semiconductor 补偿半导体
compensated video amplifier 视频补偿放大器
compensating circuit 补偿电路
compensating signals 补偿信号
compensation 补偿
compensation factor 补偿因数
compensator bridge 补偿电桥
complementary high performance mos 互补高性能金属氧化物半导体结构
complementary insulated gate fet 互补隔离栅场效应晶体管
complementary integrated circuit 互补集成电路
complementary metal insulator semiconductor 互补型金属绝缘体 半导体结构
complementary mnos 互补金属氮化物氧化物半导体
complementary mos cmos结构
complementary transistor 互补晶体管
complementary transistor logic 互补晶体管逻辑
complementary ttl 互补晶体管 晶体管逻辑电路
complementary wavelength 互补色波长
complete inversion 全反转
completely reflecting mirror 全反射镜
compliant lead 易弯曲的引线
component 成分
component density 元件密度
component inserter 元件插入装置
component integration 元件集成化
component interconnect 元件间相互连接
component layout 元件布置
component manufacturing machinery 元件制造设备
component mounting 元件装配
component part 电路部件
component reliability 元件可靠性
composite board 复合板
composite color signal 复合色信号
composite gate 复合门
composite gate mos structure 复合栅金属氧化物半导体结构
composite layer 复合层
composite material 合成材料
composite picture signal 复合图象信号
composite set 报话复合器
composite substrate 复合衬底
composite sync generator 复合同步信号发生器
composite sync signal 复合同步信号
composite transistor 复合晶体管
composite wafer 多层薄片
composition 成分
compound 复合物
compound glass 复合玻璃
compound integration 多芯片集成电路
compound semiconductor 化合物半导体
compound semiconductor body 化合物半导体衬底
compound semiconductor device 化合物半导体掐
compound semiconductor interface 化合物半导体界面
compound signal 复合信号
compression 数据压缩
compression system 压缩系统
compressional heating 压缩加热
compressor 压缩机
computer 计算机
computer aided customization 计算机辅助专用集成电路设计
computer aided design 计算机辅助设计
computer aided design facilities 计算机辅助设计工具
computer aided design library 计算机辅助设计程序库
computer aided design system 计算机辅助设计系统
computer aided drafting 计算机辅助制图
computer aided mask preparation 计算机辅助掩模制备
computer conferencing 计算机会仪
computer controlled laser 计算机控制激光器
computer generated artwork 计算机生成原图
computer hologram 计算机全息图
computer mail 电子函政
computer simulation 计算机模拟
computer to computer communication 计算机间通信
computerized design technique 计算机辅助设计技术
computing machine 计算机
computing technique 计算技术
computor aided drawing 计算机辅助制图
computor assisted design 计算机辅助设计
concentration 浓度
concentration gradient 浓度梯度
concentration level 浓度级
concentration profile 浓度分布曲线
concentration ratio 浓度比率
concentrator 集线器集中器
condensation 数据压缩
condensation nucleus 凝聚核
condenser antenna 电容性天线
condenser circuit 电容歧路
condenser lens 聚束透镜
condenser microphone 电容式话筒
condenser transmitter 电容式话筒
condenser voltage 电容歧压
conditioned air 第的空气
conductance 电导
conductance band 导带
conducting channel 导电沟道
conducting film 导电膜
conduction 导电
conduction band 导带
conduction electron density 导电电子密度
conduction type 导电型
conductive adhesive 导电粘合剂
conductive coating 导电涂层
conductive crossover 导线交叉
conductive pattern 导电图
conductivity 电导率
conductivity modulation 电导率灯
conductor 导线
conductor insulator semiconductor fet 金属绝缘体半导体场效应晶体管
conductor layer 导体层
conductor paste 导体胶
conductor paste system 导体膏系统
conductor pattern 导线分布图
conductor to hole spacing 导体孔间隔
cone antenna 锥形天线
configuration 配置
confocal resonator 共焦谐振器
congestion 超负荷
conical horn 圆锥形喇叭
conical resonator 圆锥形谐振器
conical scanning 圆锥形扫描
connecting device 连接装置
connecting plug 塞子
connection 连接
connection diagram 接线图
connection error 连接错误
connection plug 塞子
connection release 保险装置
connection route 连接通路
connector 插接器插头座
constant current modulation 定疗
constant current source 恒链
constant luminance 恒定亮度
constant voltage modulation 定压灯
construction 结构
contact annealing 接触式退火
contact area 接触面积
contact device 接触装置
contact diffusion 电极孔形成扩散
contact drop 接触电位差
contact exposure 密接曝光
contact gap 接触间隙
contact hole 接触窗口
contact hole opening 开接触孔
contact lithography 接触光蚀刻
contact mask printer 接触式掩模复制器
contact opening 接触窗口
contact pad 接触点
contact photolithography 接触光蚀刻
contact potential 接触电势
contact potential barrier 接触势垒
contact potential difference 接触电位差
contact region 接触面积
contact resistance 接触电阻
contact scribing 接触式划片
contact socket 接触座
contact window 接触窗口
contactless lithography 无接触光蚀刻
contactless scribing 无接触划片
contaminant 沾染物质
contaminant free film 无沾污膜
contamination 沾染物质
continental code 国际电码
continuous laser 连续工驻光器
continuous layer 连续层
continuous pump 连续激励
continuous tuner 连续党器
continuous wave doppler radar 连续波多普勒雷达
continuous wave irradiation 连续波辐照
continuous wave laser 连续波激光器
continuous wave laser operation 连续波激光操作
continuous wave mode 连续波运行
continuous wave operation 连续波运行
continuous wave radar 连续波雷达
continuously operating laser 连续工驻光器
continuously pumped laser 连续激励激光器
continuously tunable laser 可连续党激光器
contrast 对比
contrast control 对比度第
contrast control device 对比度第装置
contrast dynamic range 对比度动态范围
contrast range 对比度范围
contrast ratio 对比度系数
contrast threshold 对比阈
control 控制
control channel 控制信道
control characteristic 控制特性
control circuit 控制电路
control circuit apparatus 控制电器
control device 控制装置
control diffusion 受控扩散
control electrode 控制电极
control gate 控制栅
control grid 控制栅
control of horizontal synchronization 行同步控制
control of vertical synchronizing 场同步控制
control track 控制声道
control voltage 控制电压
controlled atmosphere furnace 可控气氛炉
controlled diode 控制二极管
controlled junction depth 受控结深度
controlled switch 受控开关
controller 控制器第器;控制器
convergence 会聚
convergence circuit 会聚电路
conversation 通话
conversational mode 对话方式
conversion 变换
conversion efficiency 转换效率
conversion integrated circuit 变换集成电路
conversion loss 变换损耗
converter 变换器
converter tube 变换管
conveyor dryer 传送带式干燥机
coolant 冷却剂
coolant fluid 冷却剂铃
cooled photodetector 冷却式光电探测器
cooler 冷却器
cooling 冷却
cooling circuit 冷却回路
cooling fin 散热片
cooling fluid 冷却液
cooling jacket 冷却夹套
cooling rate 冷却速率
cooling system 冷却系统
cooling water 冷却水
cooling water circulation 冷水环流
coordinate plotter 坐标绘图机
coordinate sensitive photodetector 坐标敏感光电检测器
coordinate table 坐标工专
coordinatograph 坐标绘图机
coplanar electrodes 共平面电极
copper 铜
copper clad laminate 敷铜箔叠层板
copper filled adhesive 填铜粘合剂
copper plated base material 镀铜的基体材料
copying 复制
cord circuit 塞绳电路
cord circuit repeater 塞绳增音机
cordless switchboard 无塞绳交换机
core 磁心
core logic 磁心逻辑
coring 晶内偏析
corner 弯曲软波导
corner antenna 角反射旗线
corner cube 角形反射器
corner reflector 角形反射器
corona 电晕放电
corona discharge 电晕放电
correcting amplifier 校正放大器
correcting lens 校正透镜
correcting signal 校正信号
correction 校正
correction of distortion 失真校正
correction of phase 相位校正
correction time 校正时间
corrector circuit 校正电路
corrosion 腐蚀
corrosion stability 腐蚀稳定性
corrugated mask 多孔障板
cosmic laser 宇宙激光器
cosmic maser 宇宙脉泽
cosmic noise 宇宙噪声
coulomb barrier 库仑势垒
coulomb interaction 库仑互相酌
coulombian repulsion 库仑排斥
counter 计数器
counter doping 补偿掺杂
counter radar means 反雷达手段
counting circuit 计数电路
couple 电偶
coupled lasers 耦合激光器
coupled modes 耦合方式
coupled oscillations 耦合振动
coupled resonators 耦合谐振器
coupled waves 耦合波
coupler 插接器插头座
coupling 耦合
coupling aperture 耦合孔
coupling capacitor 隔直羚容器隔离电容器
coupling coefficient 耦合度
coupling element 插接器插头座
coupling factor 耦合度
coupling impedance 耦合阻抗
coupling loop 耦合环
coupling resistance 耦合电阻
coupling slot 耦合槽
course of the beam 射束路径
cover 覆盖
coverage 覆盖
cpu 中央处埋机
crack 裂纹
crack detection 裂纹探测
crack formation 形成裂缝
crack nucleation 形成裂缝
cratering 形成弹坑
craze 微裂缝
creeping discharge 沿表面放电
crest factor 峰值因数
critical dimension 临界尺寸
critical frequency 临界频率
critical temperature of superconductivity 超导电临界温度
critical wavelength 临界波长
crookes tube 克鲁克斯放电管
cross coupling 交叉耦合
cross diffusion 交叉扩散
cross fade 叠象渐变
cross modulation 交扰灯
cross neutralization 交叉中和
cross section 横截面
crossbar connector 纵横制连接器
crossbar switching device 纵横制交换装置
crossed antenna 交叉天线
crossed coil antenna 交叉环形天线
crossed field discharge 交叉场放电
crossed field gun 交叉场电子枪
crossed fields 交叉电场
crossfire 串报
crossing 相交
crossover 交叉
crossover frequency 过渡频率
crossover oxide 交叉布线绝缘用氧化物
crosstalk 串话
crosstalk coupling 串话耦合
crosstalk level 串话电平
crosstalk meter 串音测试器
crosstalk volume 串话音量
crossunder 穿接
crow's foot 微裂缝
crt 阴极射线管
crt face plate crt 荧光屏
crucible 坩埚
crucible evaporator 坩埚蒸发器
crucible furance 坩埚炉
crucible melting 坩锅熔融
crucible oven 坩埚炉
crucibleless method 无坩埚方法
cryoelectronic amplifier 低温电子放大器
cryoelectronic device 低温电子装置
cryoelectronic integrated microcircuit 低温电子集成微型电路
cryoelectronic system 低温电子系统
cryoelectronic unit 低温电子部件
cryoelectronics 低温电子学
cryogenic bolometer 低温测辐射热计
cryogenic cooling 低温冷却
cryogenic element 低温元件
cryogenic engineering 低温技术
cryogenic equipment 低温设备
cryogenic laser 低温激光器
cryogenic system 低温装置
cryogenic technique 低温技术
cryologic 低温逻辑
cryopump 低温泵
cryosar 雪崩复合低位开关
cryosorption pump 低温吸附泵
cryotronics 低温电子学
cryptochannel 密码信道
cryptographic system 密码系统
cryptography 密码术
cryptology 保密学
cryptomicroprocessor 密码微处理机
cryptotext 密码电文
crystal 结晶
crystal anisotropy 晶体蛤异性
crystal axis 晶轴
crystal boundary 晶体间界
crystal checker 晶体检验器
crystal chemistry 晶体化学
crystal control 晶体控制
crystal cut 晶体切割
crystal defect 晶体缺陷
crystal delay line 水晶延迟线
crystal detector 晶体检波器
crystal diode 晶体二极管
crystal direction 结晶定向
crystal face 晶面
crystal filter 晶体滤波器
crystal form 晶体形式
crystal grain 晶粒
crystal growing 晶体生长
crystal growing apparatus 晶体生长装置
crystal growing furnance 晶体生长炉
crystal growing in melts 熔融晶体生长
crystal growing in solution 溶液晶体生长
crystal growing in vapor phase 汽相晶体生长
crystal growth 晶体生长
crystal habit 晶体形态
crystal imperfection 晶体缺陷
crystal indices 密勒指数
crystal laser 晶体激光器
crystal lattice 晶格
crystal microphone 晶体话筒
crystal mixer 晶体混频器
crystal morphology 晶体形态学
crystal nucleus 晶核
crystal optics 晶体光学
crystal oscillator 晶体振荡器
crystal physics 晶体物理学
crystal plane 晶面
crystal puller 拉晶机
crystal pulling 拉晶法
crystal pulling furnace 拉晶炉
crystal pulling method 拉晶法
crystal resonator 水晶振子
crystal rotation 晶体偏振面旋转
crystal section 晶体断面
crystal seed rod 晶种棒
crystal structure 晶体结构
crystal technique 晶体生长技术
crystal timing oscillator 晶体定时振荡器
crystal whisker 晶须
crystalline laser 晶体激光器
crystalline semiconductor 晶体半导体
crystallite 晶粒
crystallization by cooling 冷却式结晶化
crystallization center 结晶中心
crystallization direction 结晶方向
crystallization nucleus 晶种
crystallization temperature 结晶温度
crystallographic orientation 晶向
crystallographic plane 晶面
csd 阶跃恢复二极管
ctd 电荷转移掐
ctl 互补晶体管 晶体管逻辑电路
cttl 互补晶体管 晶体管逻辑电路
cubic semiconductor 立方晶格半导体
cubical lattice 立方晶格
cue 信号
cue track 尾接指令磁迹
cumulative ionization 雪崩电离
cumulative shelf life 累积储藏寿命
curie point 居里温度
curie temperature 居里温度
curing agent 硬化剂
curing system 硬化装置
curing temperature 硬化温度
current 电流
current amplification 电僚大
current amplification factor 电僚大系数
current carrying capacity 电凛许量
current density 电淋度
current feedback 电链馈
current gain 电瘤益
current generator 电立生器
current injection logic 电立入逻辑
current limiter 电赁制器
current mode logic 电联关逻辑
current noise 电岭声
current regulator 稳流
current responsivity 电领应度
current source 电链
current terminal 电了子
current voltage characteristic 伏安特性
current voltage diagram 伏安特性
cursor 指针
curve 曲线
curved waveguide 弯曲波导管
custom approach 定制集成电路技术
custom chip 定制集成电路
custom hardware 定制硬件
custom ic design 定制集成电路设计
custom implementation 定制集成电路制造
custom integrated circuit 定制集成电路
custom layout 定制集成电路布置布线图
custom monolithic circuit 定制单片集成电路
custom photomask 定制光掩模
custom route 定制路由
customer 用户
customer loop 用户环路
customization 实现定制集成电路
cut 切断
cut in 插通
cutoff 保险装置
cutoff attenuator 截止衰减器
cutoff bias 截止偏压
cutoff current 截止电流
cutoff frequency 截止频率
cutoff state 截止状态
cutoff voltage 截止电压
cutoff waveguide 截止波导
cutoff wavelength 截止波长
cutout 保险装置
cutter head 机械录音头
cutting 切
cutting blade 切割刀片
cutting stylus 录音针
cvd 化学汽相淀积
cvd oxide 化学汽相淀积氧化物
cvd polysilicon 化学汽相淀积多晶硅
cvd silicon 化学汽相淀积硅
cw lasing 连续波激光振荡
cw pumped laser 连续激励激光器
cw radar 连续波雷达
cycle duration 循环时间
cycle time 循环时间
cyclic accelerator 循环加速器
cyclic code 循环码
cycling 循环
cyclotron 回旋加速器
cyclotron frequency 回旋加速频率
cyclotron maser 回旋加速脉泽
cyclotron resonance 回旋加速瞥振
cylindrical cathode 圆柱形阴极
cylindrical magnetic domain 圆柱形磁畴
cylindrical plasma reactor 圆柱形等离子体反应器
cylindrical reflector 柱面反射器
cylindrical wave 柱面波
cymometer 波长计
cypher 密码
cz 切克劳斯基法
czochralski grown crystal 切克劳斯基法生长晶体
czochralski grown ingot 切克劳斯基法生长晶体
czochralski method 切克劳斯基法
czochralski production system 切克劳斯基晶体生长装置
czochralski silicon 切克劳斯基法生长硅d/a converter 数字模拟转换器
da 设计自动化
dac 数字模拟转换器
dacq 数据采集
damage 损伤
damage area 损伤区
damage probability 损伤概率
damage study 破坏研究
damped oscillations 阻尼振荡
damped wave 衰减波
damping 衰减
damping constant 衰减常数
damping diode 阻尼二极管
damping factor 衰减因数
dark current 暗电流
dark discharge 暗放电
dark pulse spectrum 暗脉冲谱
dark resistance 暗电阻
dark space 暗区
dark spot 黑点
dark spot signal 黑点信号
dark trace screen 暗迹屏
darlington amplifier 达灵顿放大器
darlington emitter follower 达林顿射极跟随器
data 数据
data acquisition 数据采集
data bank 数据库
data capture 数据采集
data channel 数据电路
data circuit 数据电路
data collection 数据采集
data communication 数据通信
data communication system 数据传送系统
data compression 数据压缩
data concentrator 数据集中器
data conversion 数据转换
data gathering 数据采集
data handling 数据处理
data line 数据电路
data link 数据传输线路
data logging 数据采集
data modem 数据灯解调
data network 数据网
data packet switching 数据包交换
data processing 数据处理
data reduction 数据压缩
data slice 位片微处理机
data transfer 数据传送
data transmission 数据传送
data transmission channel 数据传输通道
dc 数据转换
dc amplifier 直僚大器
dccd 数字电荷耦合掐
dccl 直接电荷耦合逻辑
dctl 直接耦合晶体管逻辑
dctl gate 直接耦合晶体管逻辑门
de emphasis 去加重
dead time 无感时间
debunching of a beam 束立聚
deburring 去毛刺
debye length 德拜长度
debye temperature 德拜温度
decade counter 十进数计数器
decade counter tube 十进计数管
decade voltage divider 十进制分压器
decapsulation 解封装
decarbonization 脱碳
decarburizing 脱碳
decatron 十进制计数管;十进制计数管
decay 衰减
decay characteristic 衰变特性
decay time 衰落时间
deceleration time 时延
decision element 判定元件
declination 偏角
decoder 解码器
decoder circuit 译码电路
decoding 译码
decoding circuit 译码电路
decomposition 分解
decomposition reaction 分解反应
decomposition technique 分解技术
decomposition temperature 分解温度
decoration 染色
decoupling filter 去耦滤波器
dedicated chip 专用芯片
dedicated integrated circuit 专用芯片
deep acceptor 深受主
deep center 深杂质中心
deep depletion ccd 深耗尽层电荷耦合掐
deep depletion transistor 深耗尽层晶体管
deep diffusion 深扩散
deep donor 深施主
deep etching 深腐蚀
deep kerf 深槽
deep trap 深陷阱
deep uv exposure 远紫外线曝光
deep uv light 远紫外线
deep uv lithography 远紫外线光刻
deep uv mask 远紫外线掩模
deep uv projection aligner 远紫外线投影曝光对准器
deep uv rays 远紫外线
deep uv resist 远紫外线灵敏抗蚀剂
deexcitation 去激
defect 缺陷
defect concentration 缺陷密度
defect conduction 晶体缺陷导电
defect density 缺陷密度
defect density distribution 缺陷密度分布
defect free crystal 无缺陷晶体
defect motion 缺陷移动
defective silicon 有缺陷硅
definition 清晰度
definition technique 图象形成技术
deflect 偏转
deflecting electrode 致偏电极
deflecting field 偏转场
deflecting mirror 偏转镜
deflecting plate 偏转板
deflecting system 偏向系统
deflecting voltage 偏转电压
deflecting yoke 偏转系统
deflection 偏转
deflection amplifier 偏转放大器
deflection angle 偏转角
deflection coefficient 偏转系数
deflection coil 偏转线圈
deflection current 偏转电流
deflection defocusing 偏转散焦
deflection distortion 偏转失真
deflection electrode 偏转电极
deflection factor 偏转系数
deflection magnet 偏转磁铁
deflection non linearity 偏转非线性
deflection sensitivity 偏转灵敏度
deflection speed 偏转速率
deflection system 偏向系统
deflection voltage 偏转电压
deflection yoke 偏转磁轭
deflector plates 偏转板
defluxer 去焊剂器
defocusing 散焦
deform 变形
degassing 除气
degaussing 去磁
degaussing coil 去磁线圈
degeneracy 简并
degeneracy factor 简并度
degenerate condition 简并条件
degenerate distribution 简并分布
degenerate laser 简并激光器
degenerate level 简并能级
degenerate oscillation 简并振动
degenerate region 简并区
degenerate resonator 简并谐振器
degenerate semiconductor 简并半导体
degenerate state 简并态
degeneration 简并
degradation 老化
degradation mechanism 老化机构
degreaser 除油器
degree 度
degree of coherence 相干度
degree of confidence 置信度
degree of ionization 电离度
degree of light modulation 光灯度
degree of monochromaticity 单色度
degree of safety 安全程度
deionization 去离子
deionizator 离子交换装置
deionized water 去离子水
deionized water rinsing 去离子水冲洗
dekatron 十进管
delay 延迟
delay cable 延迟电缆
delay circuit 延迟电路
delay distortion 延迟失真
delay equalizer 延迟均衡器时延等化器
delay line 延迟线
delay line length 延迟线长度
delay signal 迟缓信号
delay time 时延
delayed blanking signal 延迟消隐信号
delayed sweep 延迟扫描
delayed synchro signal 延迟同步信号
delidding 解封装
delineation 描绘
dellinger effect 粗捷效应
delta matched impedance antenna 形阻抗匹配天线
delta matching 匹配
delta modulation 灯
delta modulator 增量灯器
demagnetizer 去磁器
demagnetizing factor 退磁系数
demagnifying electron projection 缩图式电子束投影
dematron 搓管
demineralization 除盐
demodulation 解调
demodulation method 解到式
demodulator 解调
demountable tube 可拆管
demultiplexer 多路分配器
demux 多路分配器
dendrite 枝状晶体
dendrite formation 枝状晶体生长
dendritic crystal 枝状晶体
dense chip 高密度芯片
dense logic 高密度逻辑
density 密度
density distribution 密度分布
density modulation 密度灯
density of packaging 封装密度
density of states 态密度
depletion 耗尽
depletion channel 耗尽型沟道
depletion implantation 耗尽区离子注入
depletion layer 耗尽层
depletion layer capacitance 耗尽层电容
depletion layer capacity 耗尽层电容
depletion metal schottky fet 耗尽型肖特基场效应晶体管
depletion mode 耗尽型
depletion mode fet 耗尽型场效应晶体管
depletion mode integrated circuit 耗尽型集成电路
depletion mode operation 耗尽型动作
depletion mode region 耗尽区
depletion most 耗尽型金属氧化物半导体晶体管
deposit substrate interface 淀积层 衬底界面
deposited film 沉积膜
deposited resistor 沉积电阻器
deposition 沉积
deposition chamber 淀积室
deposition composition 淀积层成分
deposition mask 淀积掩模
deposition target 淀积靶
depth dimensions 深度尺寸
depth distribution 深度分布
depth meter 深度计
depth of modulation 灯深度
depth placement 杂质的沿深度布置
depth profile 沿深度掺杂分布图
derating 降低定额值
derivation circuit 微分电路
descumming 清除浮渣
desiccant 干燥剂
design 设计
design aids 自动设计工具
design automation 设计自动化
design automation software package 自动设计程序包
design automation system 设计自动化系统
design database 设计数据库
design facilities 自动设计工具
design phase 设计阶段
design reliability 设计可靠性
design rule 设计规则
design technique 设计技术
desk 面板
desk coin telephone 桌形投币式公用电话机
desk telephone 桌式电话机
desmear 表面沾污去除
desoldering 脱焊
desoldering vacuum module 真空脱焊组件
desorption 解吸
destaticization 防静电处理
destruction 破坏
destructive pull test 破坏性拉伸试验
detectability 探测率
detection 检波
detection boundary 探测界限
detection limit 探测极限
detection of radiation 辐射探测
detection probability 探测概率
detection process 检波过程
detection range 探测距离
detection threshold 探测限界
detection time 探测时间
detectivity 探测率
detector 传感器
detector diode 二极管检波器
detector noise 检测齐声
detector sensitibility 检测崎敏度
detector stage 检波级
detector tube 检波管
detector valve 检波管
deterioration 劣化
deterioration of emission 放射劣化
detuning 失调
develop check 光刻胶显影检查
developed hologram 显影的全息图
developed image 显影图象
developer 显影剂
developing 显影
developing solution 显影溶液;显影液
development 显影
development flow 试制撂
development of photoresist 光致抗蚀剂显影
development tools 自动设计工具
deviation flag 航线偏移指示仪
deviation indicator 偏差指示器
deviation system 偏转系统
device 装置;元件
dew point temperature 露点温度
dewar flask 杜瓦真空瓶
dfet 耗尽型场效应晶体管
dft 离散傅里叶变换
di water facilities 脱离子水用设备
diagnostic facilities 诊断设备
diagnostic holography 诊断全息照相术
dial exchange 自动交换区
dial line 交换线路
dial pulsing 拔号脉冲
dial signal 拔号信号
dial switch 拨号盘式开关
dial telephone system 自动电话系统
dial tone 拨号音
dial up line 交换线路
dialer 拔号器
dialing 拔号
dialing pulse 拔号脉冲
dialing signal 拔号信号
dialog mode 对话方式
diamond 金刚石
diamond blade 金刚石刀片
diamond saw 金刚石锯
diamond scribe tool 金刚石划片器
diamond scriber 金刚石划片器
diamond wheel 金刚石刀片
diaphragm 隔膜
diaphragm mode filter 隔膜型滤波器
diaphragmatic waveguide 膜片加截波导
dic 数字集成电路
dice 芯片
dice yield 芯片成品率
dicer 切片机
dicing 切割
dicing saw 切割锯
die 芯片
die adherence 芯片粘附
die and wire bonding 芯片 导线焊接
die attach preform 芯片安装用框架
die attachment 芯片焊接
die bonder 芯片焊接机
die bonding 芯片焊接
die by die alignment 步进式芯片对准
die by die exposure 步进式芯片曝光
die by die printing 步进式芯片曝光
die ejector unit 芯片分离器
die grading 芯片分选
die integrated circuit 芯片状集成电路
die mounting area 芯片安装面积
die on tape 链式带上的芯片
die orientation 芯片方位
die pad 芯片安装面积
die pickup 芯片拾取器
die processing 芯片处理
die push test 芯片分离试验
die separation 芯片分离
die sort 芯片分选
die sorter 芯片分选装置
die visual control 芯片肉眼检查
die visual gate 芯片肉眼检查台
die yield 芯片成品率
dielectric antenna 电介质天线
dielectric breakdown voltage 电介质哗电压
dielectric cap 介质盖
dielectric constant meter 介电常数测定计
dielectric dissipation factor 介质损耗因数角
dielectric film 介质膜
dielectric isolated mos 介质隔离金属氧化物半导体
dielectric isolation 介质隔离
dielectric line 介质线路
dielectric loss 介质损失
dielectric loss meter 介质损耗测量计
dielectric loss tangent 介质损耗因数角
dielectric medium 电介质
dielectric paste system 介质膏系统
dielectric resonator 介质谐振器
dielectric semiconductor interface 电介质 半导体界面
dielectric strength 绝缘强度
dielectric waveguide 介质波导管
dielectrically isolated integrated circuit 介质隔离集成电路
dielectrically isolated island 介质隔离岛
dielectrically loaded waveguide 介质负载波导管
dieleltric constant 介电常数
difference amplifier 差动放大器
difference of phase 相位差
differential 差动的
differential amplifier 差动放大器
differential etch rate 微分腐蚀速度
differential etching 微分腐蚀
differential gain 微分增益
differential pcm 差动式脉码灯
differential phase 微分相位
differential phase modulation 差动式掂
differential phase shift 差动相移
differential pulse code modulation 差值脉冲编码灯
differential scattering cross section 微分散射截面
differentiating circuit 微分电路
differentiator 微分电路
diffracted wave 衍射波
diffraction 衍射
diffraction fringes 衍射条纹
diffraction grating alignment 衍射光栅对准
diffraction limit 衍射极限
diffraction pattern 衍射图
diffraction propagation 绕射传播
diffraction scattering 绕射散射
diffractional loss 衍射损耗
diffusant 扩散剂
diffusant source 扩散源
diffuse base 扩散基极
diffuse reflectance 漫反射系数
diffuse reflecting target 漫反射靶
diffuse reflection 漫反射
diffuse reflection factor 漫反射系数
diffuse scattering 漫散射
diffuse spectral line 漫射光谱线
diffuse surface 漫反射面
diffused diode 扩散二极管
diffused drain 扩散漏极
diffused junction 扩散结
diffused junction capacitor 扩散结型电容器
diffused junction diode 扩散结型二极管
diffused junction isolation 扩散结型隔离
diffused line 扩散路线
diffused portion 扩散区
diffused resistor 扩散电阻器
diffused resistor block 扩散电阻检测单元
diffused source 扩散源
diffused strip 扩散条
diffused weld 扩散压合
diffuser 漫射体
diffusing atom 扩散原子
diffusing impurity 扩散剂
diffusion 扩散
diffusion annealing 扩散退火
diffusion barrier 扩散势垒
diffusion boat 扩散舟
diffusion bonding 扩散压合
diffusion capacitance 扩散电容
diffusion capacity 扩散电容
diffusion coefficient 扩散系数
diffusion collector 扩散集电极
diffusion conditions 扩散条件
diffusion crystallizer 扩散结晶器
diffusion depth 扩散深度
diffusion edge 扩散边缘
diffusion equation 扩散方程
diffusion facilities 扩散设备
diffusion factor 扩散系数
diffusion furnace 扩散炉
diffusion gettering 扩散吸除
diffusion gradient 扩散杂质梯度
diffusion impervious layer 扩散阻挡层
diffusion induced strain 扩散诱起的变形
diffusion isolation 扩散隔离
diffusion junction 扩散结
diffusion length 扩散距离
diffusion mask 扩散掩模
diffusion mask opening 扩散掩模窗口
diffusion of vacancies 空位扩散
diffusion oven 扩散炉
diffusion process 扩散
diffusion pump 扩散泵
diffusion pump fluid 扩散泵用液体
diffusion pump oil 扩散泵油
diffusion rate 扩散速率
diffusion source 扩散源
diffusion step carrier 扩散工艺用载体
diffusion stop 扩散抑制器
diffusion transistor 扩散型晶体管
diffusion tube 扩散管
diffusion window 扩散窗
digital analogue converter 数字模拟转换器
digital array 数字阵列
digital capacitance meter 数字电容表
digital ccd 数字电荷耦合掐
digital chip 数字集成电路
digital circuit 数字电路
digital communication 数字通信
digital communication by satellite 数字卫星通信
digital communication system 数字通信系统
digital computer 数字计算机
digital control 数字控制
digital cryptographic system 数字密码系统
digital data 数字数据
digital data network 数字数据网
digital data transmission 数字数据传输
digital display 数字指示器
digital filter 数字滤波器
digital frequency meter 数字式频率计
digital information 数字信息
digital integrated circuit 数字集成电路
digital line 数字通信线路
digital logic gate 数字逻辑门
digital microelectronics 数字微电子学
digital modem 数字灯解调
digital modulation 数字灯
digital multimeter 数字万用表
digital multiplex system 数字多路系统
digital network 数字网络
digital oscilloscope 数字示波器
digital output 数字输出
digital performance 数字集成电路特性
digital phase shifter 数字式移相器
digital recording 数字记录
digital signal 数字信号
digital signal analyzer 数字信号分析器
digital signature 数字签名
digital storage oscillograph 数字式存储示波器
digital switching device 数字转换装置
digital switching system 数字转换系统
digital system 数字系统
digital telcphone switching system 数字电话交换局
digital telephone 数字电话
digital telephone exchange 数字电话交换局
digital telephone network 数字电话网
digital television 数字电视
digital transmission 数字传输
digital transmission system 数字传输系统
digital transversal filter 数字式横向滤波器
digital voltmeter 数字式电压表
digitizer 数字转换器
diic 介质隔离集成电路
dilp 双列直插式外壳
dilution 稀释
dimension check 尺寸检查
diode 二极管
diode action 二极管酌
diode arrangement 二极管布置
diode array 二极管矩阵
diode array integrated circuit 二极管阵列集成电路
diode characteristic 二极管特性
diode clipper 二极管熄器二极管限幅器
diode detection 二极管检波
diode detector 二极管检波器
diode function generator 二极管函数发生器
diode gate 二极管门
diode laser 二极管激光器
diode laser matrix 激光二极管阵列
diode limiter 二极管限制器
diode matrix 二极管矩阵
diode rectifier 整窿极管
diode sputter 两电极离子溅射装置
diode switch 二极管开关
diode transistor logic 二极管晶体管逻辑
diode transistor logic gate 二极管 晶体管逻辑门
diode type isolation 二极管型隔离
dioxide 二氧化物
dioxide polysilicon isolation 二氧化硅 多晶硅隔离
dip 偏角
dip coating 浸渍涂敷
dip etching 浸渍腐蚀
dip integrated circuit 双列直插式外壳集成电路
dip lead frame 双列直插式引线框架
dip power 双列直插式外壳耗散功率
dip soldering 浸入焊接
diplex operation 双工工作
diplex reception 同向双工接收
diplexer 天线共用器
dipole 偶极子
dipole antenna 偶极天线
direct charge coupled logic 直接电荷耦合逻辑
direct coupled amplifier 直耦放大器
direct coupled transistor logic 直接耦合晶体管逻辑
direct current 直流
direct current amplifier 直僚大器
direct current beta 晶体管共发射极短路电僚大系数
direct current biasing 直莲压法
direct current bridge 直羚桥
direct current convergence 静态会聚
direct current excited laser 直沥励型激光器
direct current insertion 直林量插入
direct current measurement 直菱量
direct current power supply 直羚源
direct current pumping 直沥励
direct current radiometer 直柳射计
direct current resistance 直羚阻
direct current restorer 直辽分恢复电路
direct current telegraphy 直羚报
direct display storage tube 直接显象存储管
direct distance dialing 直接长途拨号
direct jamming 直接干扰
direct measurement 直接测量
direct recording 直接录制
direct step on wafer 薄片上步进式直接曝光
direct supercurrent 超导直流
direct transition 直接跃迁
direct view storage tube 直观式存储管
direct write electron beam lithography 直写式电子束光刻
direct write electron beam system 直写式电子束光刻装置
direction finder 探向器
direction of polarization 极化方向
direction of propagation 传播方向
direction of rotation 旋转方向
directional antenna 指向天线
directional coupler 定向耦合器
directional etching 定向腐蚀
directional filter 方向滤波器
directional gain 定向系数
directional microphone 定向传声器
directional pattern 方向性图
directional radiation 指向性辐射
directional receiver 接收定向信号的接收机
directional reception 定向接收
directional transmission 定向发射
directional transmitter 定向发射机
directional wireless 定向无线电通信
directive gain 定向系数
directivity 方向性
directivity diagram 方向性图
directivity diagram laser 激光方向性图
directivity index 定向系数
directivity pattern 方向性图
directly heated cathode 直热式阴极
director 引向器
disable pulse 禁止脉冲
disc seal diode 盘封二极管
disc seal triode 盘封三极管
disc seal tube 盘封管
discharge 放电
discharge cleaning 放电清洗
discharge gap 放电间隙
discharge in gas 气体放电
discharge lamp 放电灯
discharge quenching 放电熄灭
discharge stability 放电稳定度
discharge stabilization 放电稳定化
discharge tube 放电管
discoloration 脱色
discone antenna 盘锥形天线
disconnect 断开
discontinuous film 不连续膜
discontinuous variations 不连续变异
discrete component 分立元件
discrete component circuit 分立元件电路
discrete device chip 分立芯片掐
discrete element 分立元件
discrete forurier transform 离散傅里叶变换
discrete integrated circuit 分立集成电路
discrete microcomponent 分立微型元件
discrete microprocessor 分立微处理机
discrete part 分立元件
discrete resistor 分立电阻器
discrete semiconductor 分立的半导体
discrete tuner 不连续党器
discrete value 离散值
discrete wiring 选择布线
discretionary integration 选择互连集成电路
discretionary interconnections 选择互连
discretionary routed array 选择互连阵列
discretionary wiring pattern 选择布线图
discriminator 鉴别器
disk antenna 盘形天线
disk attenuator 圆盘衰减器
disk grinding 圆盘研磨
disk laser 盘形激光器
disk recorder 唱片录音机
dislocation 位错
dislocation boundary 位错边界
dislocation centre 位错中心
dislocation density 位错密度
dislocation group 位错群
dislocation line 位错线
dislocation loop 位错环
dislocation mechanism 位错机制
dislocation motion 位错运动
dislocation movement 位错运动
dislocation network 位错网
dislocation node 位错节
dislocation scatter 位错散射
dislocation source 位错源
dislocation spiral 位错螺旋线
dislocation step 位错阶梯
dislocation structure 位错结构
disorder 无规律
disordered crystal 无序晶体
dispatch telephone system 等电话系统
dispenser 剂量器分配器
dispenser cathode 储备式阴极
dispensing system 剂量器分配器
dispersion 频散
dispersion loss 弥散损耗
dispersion relation 频散关系
dispersive delay line 色散延迟线
dispersive fiber 弥散型纤维
dispersive medium 频散媒质
displacement damage 位移层错
display 显示装置
display device 显示装置
display holography 全息摄影术
display tube 显示管
disruptive voltage 哗电压
dissector 析象管
dissector tube 析像管
dissipation 耗散
dissipation power 耗散功率
dissociation laser 离解激光器
dissolution velocity 溶解速度
distance between lines 辐射线间距离
distance between mirrors 镜间距离
distance marks 距离标记
distance measuring equipment 测距设备
distance resolution 距离分辨能力
distilled water 蒸馏水
distorted image 失真图象
distorted wavefront 失真波前
distortion 失真
distortion effect 失真效应
distortion factor 失真系数
distortion meter 失真度测试仪
distortion tolerance 失真容限
distribustion device 分配装置
distributed amplification 分布放大
distributed amplifier 分布式放大器;分布放大器
distributed circuitry 分布参数电路
distributed dynode 分布式二次放射极
distributed element equivalent circuit 分布参数元件等效电路
distributed inductance 分布电感
distributed josephson logic circuit 分布参数约瑟夫孙逻辑电路
distributed load 分布负载
distributing frame 接线板
distribution 分布
distribution block 接线板
distribution coefficient 分布系数
distribution network 配电网
distribution ratio 分布系数
distributor 接线板
disturbance 干扰
disturbed wavefront 干扰波阵面
divergence 发散
divergent light 发散光
diversity 差异
diversity receiver 分集接收器
diversity reception 分集接收法
divider 分配器
dmes fet 耗尽型肖特基场效应晶体管
dmnos structure dmnos结构
dmos dmos结构
dmosfet dmos场效应晶体管
dmost 双扩散金属氧化物半导体晶体管
dmux 多路分配器
dolby integrated circuit 道尔比集成电路
domain 磁畴
domain structure 磁畴结构
domain wall 磁畴壁
dominant mode of propagation 传播的郑
dominant wave 吱
donor atom 施汁子
donor dopant 施钟质
donor impurity 施钟质
donor level 施周级
donor type semiconductor 施滞半导体
doorknob transformer 门钮形转换器
dopant 掺杂剂
dopant activation 掺杂剂活化
dopant atom 掺杂剂原子
dopant density 掺杂密度
dopant distribution 掺杂剂分布
dopant gas 掺杂剂气体
dopant gradient 掺杂梯度
dopant host 固体掺杂剂源
dopant predeposition 掺杂剂预淀积
dopant profile 掺杂分布图
dopant resist 掺杂剂抗蚀剂
dopant source 掺杂剂源
dope 掺杂剂
dope additive 掺杂剂
doped crystal 掺杂的晶体
doped junction 掺杂质结
doped polysilicon diffusion 掺杂的多晶硅扩散
doper 掺杂装置
doping 掺杂
doping agent 掺杂剂
doping compensation 掺杂补偿
doping density 掺杂密度
doping depth 掺杂深度
doping level 掺杂级
doping mask 掺杂掩模
doping mask window 掺杂掩模窗口
doping profiler 掺杂轮廓仪
doping ratio 掺杂剂比率
doping type 掺杂型
dopos 掺杂的多晶硅扩散
doppler effect 多普勒效应
doppler frequency shift 多普勒频移
doppler halfwidth 多普勒半宽度
doppler linewidth 多普勒线宽
doppler navigation 多普勒导航
doppler shift 多普勒频移
doppler spectrum 多普勒频谱
doppler width 多普勒宽度
dosage concentration 掺杂浓度
doser 剂量器分配器
dot 点
dot alloying method 点合金法
dot and 点与
dot bar generator 点 条状图案信号发生器
dot frequency 点频率
dot generator 点状图案信号发生器
dot or 点或
double beam tube 双束射线管
double chamber vacuum deposition system 双室真空淀积系统
double clad optical fiber 双包层光学纤维
double crucible crystal growing apparatus 双坩埚晶体生长装置
double crucible method 双坩埚方法
double current cable code 二态电缆码
double diffused diode 双扩散二极管
double diffused injector 双扩散注入器
double diffused metal oxide semiconductor dmos结构
double diffused mos fet dmos场效应晶体管
double diffused mos transistor 双扩散金属氧化物半导体晶体管
double diffused process 双扩散
double diffusion 双扩散
double diffusion technique 双扩散工艺
double diode 双二极管
double doped transistor 双重掺架晶体管
double doping 双掺杂
double ended cord 两头塞绳
double ended micromodule 双端出线微型组件
double epi process 双外延工艺
double epitaxy 双外延工艺
double heterojunction 双异质结
double heterojunction laser 双异质结型激光器
double heterojunction semiconductor 双异质结半导体
double heterostructure laser 双异质结型激光器
double image 双像
double image signals 重影信号
double implanted mos 双重离子注入金属氧化物半导体
double injection diode 双注入型二极管
double ion implanted process 双重离子注入工艺
double junction semiconductor 双结半导体
double level metallization 双层金属化
double level polysilicon mos structure 双层多晶硅金属氧化物半导体结构
double limiter 双向限幅器
double modulation 双重灯
double photoresist 双重光致抗蚀剂
double poly process 双层多晶硅栅金属氧化物半导体工艺
double refraction 双折射
double side 双边的
double sideband transmission 双边带传输
double sided board 两面印制电路板
double triode 双三极管
double tuned amplifier 双党放大器
doubler 倍频器信压器
doublet 偶极子
doubling of the image 图像双重
doubly balanced modulator 双重平衡灯器
down conversion 降频转换
down converter 降频转换器
down scaled integration 按比列缩小集成电路化
dpcm 差动式脉码灯
draft rate 漂移速度
drafter 坐标制图器绘图仪
drafting 制图
drafting sheet 制图板
drag antenna 拖曳天线
drain 漏极
drain and source diffusion 源 漏扩散
drain channel junction 漏极 沟道结
drain current 漏极电流
drain electrode 漏极
drain substrate junction 漏极 衬底结
dram 动态随机存取存储器
drawing 图
drift 漂移
drift current 漂移电流
drift length 漂移长度
drift mobility 漂移迁移率
drift space 漂移空间
drift speed 漂移速度
drift stabilized operational amplifier 漂移稳定的运算放大器
drift transistor 漂移晶体管
drive unit 驱动装置
driven sweep 驱动扫掠
driver 驱动器激励器
driver tube 控制管
driving frequency 激励频率
driving pulse 起动脉冲
driving radiation 激励辐射
driving signal 驱动信号
driving stage 驱动级
driving system 驱动系统
drop 吊牌
drop in test array 薄片上的测试阵列
drop in test group 薄片上的测试芯片组
dropout 信号丢失
dry box 干燥箱
dry chemistry 干式化学处理
dry development 干式显影
dry etching 干腐蚀
dry etching apparatus 干腐蚀装置
dry etching reactor 干腐蚀反应器
dry film photo processing 干膜抗蚀剂光刻工艺
dry film photoresist 干膜抗蚀剂
dry film resist 干膜抗蚀剂
dry oxidation 干氧化
dry oxygen ambient 干氧气氛
dry photoresist stripper 去干胶装置
dry process 干法工艺
dry processed integrated circuit 干法工艺集成电路
dry processing 干式处理
dry resist stripping 去干胶
dryer 干燥装置
drying 干燥
drying agent 干燥剂
dt cut quarz dt切割水晶
dtl 二极管晶体管逻辑
dtl zener diode 齐纳二极管晶体管逻辑电路
dtlz 齐纳二极管晶体管逻辑电路
dual emitter transistor 双发射极晶体管
dual gate fet 双栅场效应晶体管
dual in line integrated circuit 双列直插式集成电路
dual in line lead frame 双列直插式引线框架
dual in line package 双列直插式外壳
dual modulation 双重灯
dual surface lithography 双面光刻
dual trace oscillograph 双线示波器
duality principle 对偶原理
duct 波导
duct width 波道宽度
ducting 大气波导现象
dumb antenna 失奠线
dummy 假的
dummy aerial 假天线
dummy antenna 假天线
dummy load 模拟负载
duplex 全双工
duplex operation 双工工作
duplex system 双工制
duplexer 天线收发转换开关
duplexing 双工工作
duplication 复印
duplicator 复印机
durability 耐久性
dust 粉末
dust controlled area 除尘控制区
dust free room 无尘室
dust proof box 防尘密封箱
dusting 除尘
duty cycle 工宗
duty factor 线圈间隙因数
dycmos integrated circuit 动态互补金属氧化物半导体集成电路
dye 染料
dye cell 染料盒
dye penetrant test 染料渗透试验
dye reservoir 染料容器
dye saturation 色饱和
dye solution 染料溶液
dye temperature 染料温度
dye vapour 染料蒸气
dynamic burn in 动态强化试验
dynamic characteristic 动态特性
dynamic convergence 动态会聚
dynamic deposition 动态淀积
dynamic distortion 动态失真
dynamic microphone 电动式传声器动圈式话筒
dynamic ram 动态随机存取存储器
dynamic random access memory 动态随机存取存储器
dynamic range 动态范围
dynamic sensitivity 动态灵敏度
dynatron effect 负阻效应
dynatron oscillation 负阻管振荡
dynatron oscillator 负阻管振荡器
dynode 倍增管电极
dynode system 倍增管电极系统
dyotron 微波三极管e beam 电子束
e beam pattern gereration 电子束成象
e jfet 增强型结式场效应晶体管
e mail 电子函政
early warning radar 远程警戒雷达
early warning station 远程警戒雷达
earom 电改写只读存储器
earphone 头带受话器耳机
earpiece 受话坪盖
earth atmosphere 地球大气层
earth current 大地电流
earth image 地球图象
earth radiation 地球辐射
earth satellite 人造地球卫星
earth screen 接地屏蔽
earth shadow 地球影
earth station 地面无线站
earth terminal 接地端子
earth's surface 地球表面
eb 电子束
ebes 电子束曝光器
ebl 电子束蚀刻
eccles jordan circuit 厄克勒斯 约但电路
echo 回波
echo attenuation 回声衰减
echo box 回波谐振器
echo equalizer 回声抑制器
echo signal 回波信号
echo sounding 回声探测
echo suppressor 回波抑制器
echo trap 回声抑制器
ecl 射极耦合逻辑
ecl slice 位片射极耦合逻辑微处理机
edge acuity 图像边缘清晰度
edge contact area 边缘接触面
edge definition 图像边缘清晰度
edge dislocation 边缘位错
edge effect 边缘效应
edge emission 边缘发射
edge fuzziness 图形边缘模糊
edge irregularity 边缘不规则性
edge placement 边缘布置
edge sharpness 图像边缘清晰度
editelectron lens 电子透镜
edmos 增强型 耗尽型模式金属氧化物半导体
eerom 电可擦只读存储器
ef 射极跟随器
effective area 有效面积
effective call 有效呼叫
effective cross section 有效截面
effective cut off frequency 有效截止频率
effective height 有效高度
effective radiated power 有效发射功率
effective target area 有效靶面积
effective wavelength 有效波长
efficiency 效率
efl 射极跟随七辑
einstein relation 爱因斯坦关系式
ejected electron 放出电子
ejection 抽出
ejector 喷射泵
ejector pump 喷射泵
el 电子束蚀刻
elastic collision 弹性碰撞
elastic deformation 弹性变形
elastic surface wave device 弹性表面波掐
electret microphone 驻极体传声器
electric arc 电弧
electric conductivity 电导率
electric dipole 电偶极子
electric field gradient 电场梯度
electric field strength 电场强度
electric focusing 电聚焦
electrical communication 电气通信
electrical conduction 电导
electrical inspection 电参数检查
electrically alterable read only memory 电改写只读存储器
electrically conductive adhesive 导电性胶粘剂
electrically erasable read only memory 电可擦只读存储器
electrically floating region 电浮区
electrically programmable read only memory 电可编程序只读存储器
electrino 电微子
electro optic cell 电光元件
electro optic device 电光元件
electroacoustic transducer 电声换能器
electrochemical deposition 电化学淀积
electrochemical grinding 电化学研磨
electrode 电极
electrode geometry 电极几何形状
electrode separation 电极间距
electrode spacing 电极间距
electrodeless discharge 无电极放电
electrodeposition 电解沉积
electroendosmosis 电渗
electroerosion machining 电腐蚀加工
electroetching 电蚀刻
electroless deposition 化学淀积
electroless plating 化学淀积
electroless processing 化学淀积
electroluminescence 电致发光
electroluminescent display 场致发光显示器
electroluminescent element 场致发光元件
electroluminescent faceplate 电发光荧光屏
electroluminescent screen 电发光荧光屏
electrolysis 电解
electrolyte semiconductor junction 电解质 半导体结
electrolytic anodization 电解阳极氧化
electrolytic bath 电解槽
electrolytic deposition 电解沉积
electrolytic etching 电解腐蚀
electrolytic grinding 电解磨削
electrolytic ionization 电解电离
electrolytic oxidation 电解氧化
electrolytic polishing 电解抛光
electromagnet 电磁铁
electromagnetic lens 电磁透镜
electromagnetic microphone 电磁微音器电磁传声器
electromagnetic pickup 电磁拾音器
electromagnetic receiver 电磁式受话器
electromagnetic scrub 电磁清洗
electromicrometer 电子测微计
electromicrometry 电子测微法
electromigration immunity 电迁移耐力
electromigration resistance 电迁移耐力
electron 电子
electron accelerator 电子加速器
electron affinity 电子亲和力
electron beam 电子束
electron beam aligner 电子束曝光器
electron beam alignment 电子束对准
electron beam annealer 电子束退火装置
electron beam annealing 电子束退火
electron beam bonding 电子束焊接
electron beam deposition 电子束沉积
electron beam device 电子束装置
electron beam direct write on wafer system 薄片上电子束直接绘图系统
electron beam evaporation 电子束蒸发
electron beam evaporator 电子束蒸发器
electron beam exposer 电子束曝光器
electron beam exposure 电子束曝光
electron beam exposure system 电子束曝光器
electron beam generated mask 电子束技术制造的掩模
electron beam heating 电子束加热
electron beam image repeater 电子束步进重复照相机
electron beam imaging 电子束成象
electron beam lithography 电子束蚀刻
electron beam mask 电子束掩膜
electron beam mask generator 电子束掩模图象发生器
electron beam mask system 电子束掩模制造系统
electron beam metalization 电子束金属化
electron beam pattern writer 电子束绘图机
electron beam patterning 电子束成象
electron beam printing 电子束蚀刻
electron beam probe 电子束探针
electron beam probing 电子束探测
electron beam processing 电子束加工
electron beam projection 电子束投影
electron beam projector 电子枪
electron beam pumped laser 电子束抽运激光器
electron beam recording 电子束记录
electron beam resist 电子束抗蚀剂
electron beam reticle 电子束掩膜
electron beam technology 电子束工艺
electron beam tube 电子射线管
electron beam welding 电子束焊接
electron beam writing 电子束成象
electron bombardment 电子轰击
electron bunch 电子聚束
electron chrome mask 电子束光刻用铬掩模
electron collector 电子显微镜的集电极
electron conduction 电子导电
electron continuity equation 电子连续性方程
electron cooling 电子冷却
electron coupled oscillator 电子耦合振荡器
electron coupling 电子耦合
electron current 电子电流
electron cyclotron 电子回旋加速器
electron density 电子密度
electron device 电子掐
electron diffraction 电子衍射
electron diffusion length 电子扩散长度
electron discharge machining 电子放电加工
electron display tube 电子显示管
electron drift 电子漂移
electron emission 电子发射
electron emitter 电子发射体
electron envelope 电子壳层
electron gas 电子气
electron gun 电子枪
electron hole avalanche 电子 空穴雪崩
electron hole pair 电子空穴对
electron hole pair generation 电子空穴对发生
electron hole recombination 电子 空穴复合
electron hole scatter 电子 空穴散射
electron image projection 电子图象投影
electron image projector 电子投影装置
electron impact 电子轰击
electron injection 电子注入
electron injector 电子注射器
electron ion recombination 电子 离子复合
electron irradiated silicon 电子束照射硅
electron irradiation 电子辐照
electron lens 电子透镜
electron linear accelerator 电子直线加速器
electron microprobe 电子探针
electron microscope 电子显微镜
electron multiplier 电子倍增器
electron optical image converter 电子光学图象转换器
electron optical system 电子光学系统
electron optics 电子光学
electron pair 电子偶
electron physics 电子物理学
electron polarization 电子极化
electron population 电子布居
electron ray indicator tube 电子射线指示管
electron resist 电子束抗蚀剂
electron scattering 电子散逸
electron sensitive emulsion 电子灵敏乳胶
electron sensitive resist 电子束抗蚀剂
electron shell 电子壳层
electron source 电子源
electron spin 电子自旋
electron spin resonance 电子自旋共振
electron switch 电子开关
electron synchrotron 电子同步加速器
electron technology 电子技术
electron theory 电子论
electron trajectory 电子轨道
electron transition 电子跃迁
electron trap 电子陷阱
electron tube 电子管
electron tunneling 电子隧道效应
electron vacancy 电子空位
electron valve 电子管
electron velocity 电子速度
electronic 电子的
electronic bionics 电子仿生学
electronic component 电子线路元件
electronic computer 电子计算机
electronic conductivity 电子电导率
electronic control 电子控制
electronic counter 电子计数器
electronic display 电子显示
electronic efficiency 电子效率
electronic engineering 电子工程学
electronic grade chemical 电子工业纯度级化学试剂
electronic grade gas 电子工业纯度级气体
electronic grade solvent 电子工业纯度级溶剂
electronic grade water 电子工业纯度级水
electronic instrument 电子系仪表
electronic mail 电子函政
electronic microwave tube 微波电子管
electronic organ 电子琴
electronic rectifier 电子整流
electronic scanning 电子扫描
electronic semiconductor 电子半导体
electronic shutter 电子快门
electronic spectroscope 电子分光镜
electronic switch 电子开关
electronic switching 电子开关
electronic tuning 电子党
electronics 电子学
electronimage 电子图象
electronmirror 电子镜
electrooptical deflector 电光偏转器
electrooptical effect 电光效应
electrooptical modulator 电光灯器
electrooptical q switch 电光 q 开关
electrooptical shutter 电光快门
electrooptical technology 光电技术
electrooptics 电光学
electroosmosis 电渗
electroplating 电解沉积
electropolishing 电解抛光
electrorheograph 电流
electrostatic accelerater 静电加速器
electrostatic charge 静电电荷
electrostatic deflection 静电偏转
electrostatic discharge 静电放电
electrostatic elimination 静电消除
electrostatic field 静电场
electrostatic focusing 静电聚焦
electrostatic lens 静电透镜
electrostatic receiver 静电式受话器
electrostatic wafer chuck 静电薄片夹头
electrostatical loudspeaker 静电扬声器
electrotinning 电镀锡
element 元件
element density 元件密度
element redundancy 元件冗余
elemental semiconductor 元素半导体
elementary charge 基本电荷
elementary mos device 分立金属氧化物半导体掐
elevated duct 架空管道
elevation accuracy 仰角精度
ellipsometer 椭圆计
elliptic polarization 椭圆偏振
elliptical fiber 椭圆型纤维
elliptical waveguide 椭圆波导
elliptically polarized light 椭圆偏振光
elliptically polarized wave 椭圆偏振波
ellipticity 椭圆率
elongation 延长
elsi 特大规模集成
emergency call 紧急呼叫
emesfet 增强型肖特基势垒场效应晶体管
emfet 增强型场效应晶体管
emission 发射
emission band 发射光谱带
emission efficiency 发射效率;放射效率
emission line 发射谱线
emission linewidth 辐射谱线宽度
emission transition 辐射跃迁
emissive power 发射能力
emissivity 发射能力
emitted electron 放出电子
emitted light 发射光
emitter 发射极
emitter base diode 发射极 基极二极管
emitter base junction 发射极 基极结
emitter bias 射极偏压
emitter branch 发射极支路
emitter coupled logic 射极耦合逻辑
emitter coupled logic gate 射极耦合逻辑门
emitter current 发射极电流
emitter diffusion 发射极扩散
emitter diffusion opening 发射极扩散窗
emitter dip 发射极陷落
emitter electrode 发射电极
emitter follower 射极跟随器
emitter follower input 输入射极跟随器
emitter follower logic 射极跟随七辑
emitter function logic 射极功能逻辑
emitter impurity concentration 发射区杂质浓度
emitter ion implantation 发射区离子注入
emitter mask 发射极掩模
emitter push 发射极陷落
emitter region 发射极区
emitter terminal 发射极端子
emitting antenna 发射天线
emitting diode 发光二极管
emitting layer 放射层
emitting surface 放射面
emos 增强型金属氧化物半导体场效应晶体管
emosfet 增强型金属氧化物半导体场效应晶体管
emphasizer 加重器
empty band 空带
empty level 空能级
emulsifier 乳化剂
emulsion 感光乳胶
emulsion build up 乳胶层加厚
emulsion mask 乳胶光掩模
emulsion mask pattern 乳胶掩模图形
emulsion photomask 乳胶光掩模
emulsion plate 乳胶光掩模
enable 充讦操作
enable pulse 启动脉冲
enabling pulse 启动脉冲
encapsulant 密封剂
encapsulated component 封装元件
encapsulated hybrid 封装混合电路
encapsulated integrated circuit 封装集成电路
encapsulation 密封
encapsulation mold 封装用塑模
enclosure 外壳
encoder 编码器号码机
encoder system 编码系统
encoding 编码
end capacitance 终局容量
end effect 边缘效应
end point 终点
end point detection 终点检测
endodyne 自差
endotron 振荡管
endurance 强度
energy 能量
energy absorption 能量吸收
energy balance 能量平衡
energy band 能带
energy band structure 能带结构
energy barrier 能量垒
energy density 能量密度
energy distribution 能量分布
energy flow 能流
energy gap 禁带宽度
energy level 能级
energy level diagram 能级图
energy level difference 能级差
energy loss 能量损耗
energy of a quantum 量子能量
energy of plasma 等离子体能量
energy output 输出能量
energy pulse bonding 脉冲焊
energy resolution 能量分辨率
energy spectrum 能谱
energy state 能量状态
energy supply 电源
energy transfer 能量转移
engaged 占线的
engaged line 占线
engaged signal 占线信号
engaged test 占线测试
engineering 工程
engineering reliabilty 工程可靠性
engraving 雕刻
enhanced diffusion 增强扩散
enhancement 增强
enhancement channel 增强型沟道
enhancement depletion mos 增强型 耗尽型模式金属氧化物半导体
enhancement mode 增强型
enhancement mode fet 增强型场效应晶体管
enhancement mode fet integrated circuit 增强型场效应晶体管集成电路
enhancement mode junction fet 增强型结式场效应晶体管
enhancement mode operation 增强型动作
enhancement mos 增强型金属氧化物半导体场效应晶体管
enhancement type schottky barrier fet 增强型肖特基势垒场效应晶体管
ensemble 组
entropy 熵
envelope 外壳
envelope delay 群时延
envelope detector 包络检波器
environment 环境
environment cabinet 人造环境室
environment chamber 人造环境室
environmental contamination 环境污染
environmental handler 环境控制器
environmental test 环境试验
epi 外延层
epi island 外延岛
epic 外延钝化集成电路
epic approach 外延钝化集成电路方法
epifilm 外延膜
epilayer 外延层
epiplanar device 外延平面掐
epiplanar technology 外延平面工艺
epitaxial body 外延衬底
epitaxial collector 外延集电极
epitaxial cvd 外延化学汽相淀积
epitaxial deposition 外延淀积
epitaxial edge grown 外延层边缘生长
epitaxial film 外延膜
epitaxial furnace 外延生长炉
epitaxial growth 外延生长
epitaxial integrated circuit 外延集成电路
epitaxial junction 外延结
epitaxial layer 外延层
epitaxial passivated integrated circuit 外延钝化集成电路
epitaxial planar transistor 外延平面晶体管
epitaxial pocket 外延阱区
epitaxial reactor 外延生长炉
epitaxial region 外延区
epitaxial regrowth 外延层再生长
epitaxial slice 外延生长薄片
epitaxial solution 外延溶液
epitaxial spike 外延层凸起部分
epitaxial substrate film 外延衬底膜
epitaxial transistor 外延型晶体管
epitaxial wafer 外延生长薄片
epitaxially grown film 外延膜
epitaxially grown junction 外延结
epitaxy 外延
epoxy 环氧尸
epoxy adhesive 环氧尸粘合剂
epoxy coating 环氧尸涂层
epoxy cure 环氧尸硬化
epoxy die attachment 环氧尸芯片粘合
epoxy die bonder 环氧矢芯片接合器
epoxy dispenser 环氧尸配量器
epoxy dispensing system 环氧尸配量器
epoxy encapsulant 环氧尸密封剂
epoxy encapsulation 环氧尸密封
epoxy glass 环氧玻璃
epoxy hardener 环氧尸硬化剂
epoxy laminate 环氧迭层片
epoxy molding 环氧尸模制
epoxy package 环氧尸外壳
epoxy potting 环氧尸密封
epoxy sealing 环氧尸密封
eprom 电可编程序只读存储器
equalization 均衡
equalizer 均衡器
equalizing pulse 平衡脉冲
equi energy spectrum 等能量谱
equilibrium 平衡
equilibrium carrier 平衡载劣
equilibrium carrier density 平衡载劣密度
equilibrium conditions 平衡条件
equilibrium diagram 平衡状态图
equilibrium energy 平衡能量
equilibrium orbit 平衡轨道
equilibrium phase 平衡相
equilibrium state 平衡状态
equilibrium value 平衡值
equiphase zone 等相位区
equisignal line 等信号线
equisignal radio beacon 等信号无线电信标
equisignal zone 等信号区
equivalant resistance 等效电阻
equivalent circuit 等效电路
equivalent gate 等效门
equivalent gate complexity 等效门复杂度
erasable programmed read only memory 可擦可编程序只读存储器
erasing 取消
erasing head 消磁头
erasing speed 擦去速度
erlang 厄兰
erlangmeter 厄兰计
erratic doping 不规则掺杂
error 误差
error constant 系统错误
error correcting 误差校正
error correcting code 错误校正码
error correction 误差校正
error function diffusion 误差函数扩散
error function distribution 误差函数分布
error rate 故障率
error signal 误差信号
esaki effect 江崎效应
esaki junction 江崎结
esbt 增强型肖特基势垒场效应晶体管
esd 静电放电
etch 腐蚀
etch bath 腐蚀槽
etch depth 腐蚀深度
etch end point 腐蚀终点
etch factor 腐蚀系数
etch figure 蚀刻图形
etch moat 腐蚀槽
etch out backfill isolation 腐蚀 反填充隔离
etch pit 腐蚀坑
etch polishing 腐蚀抛光
etch profile 腐蚀断面图
etch rate 腐蚀速率
etch ratio 腐蚀速度比
etch removal 腐蚀除去
etch resistant layer 抗腐蚀层
etch response 腐蚀灵敏度
etch rinse processor 薄片腐蚀 冲洗装置
etch stop layer 腐蚀停止层
etch tank 腐蚀槽
etch taper 腐蚀锥度
etch trench 腐蚀槽
etchant 蚀刻剂
etchant gas 腐蚀气体
etchant regeneration system 腐蚀剂再生装置
etchant solution 腐蚀溶液
etched mesa 腐蚀台面
etched pattern 蚀刻图形
etched printed circuit 浸蚀法印刷电路
etched surface 腐蚀面
etcher 腐蚀器
etching 腐蚀
etching agent 蚀刻剂
etching anisotropy 腐蚀蛤异性
etching bias 腐蚀锥度
etching chemical 蚀刻剂
etching gas mixture 腐蚀用气体混合物
etching mask 腐蚀掩模
etching reactor 腐蚀反应器
etching test 蚀刻试验
eureka 欧锐卡
eutectic alloy 共晶合金
eutectic brazing 共晶软钎焊
eutectic coverage 共晶合金覆盖
eutectic die attachment 共晶芯片连接
eutectic die bonder 共晶芯片焊接装置
eutectic preform 共晶合金盘料
eutectic solder 易熔质焊料
eutectic vibration brazing 共晶合金振动钎焊法
evacuated chamber 真空室
evacuated display tube 真空显示管
evacuation 排气
evacuation rate 抽气速度
evaporant 蒸发剂
evaporated bump 蒸发的隆起焊盘
evaporation 蒸发
evaporation chamber 蒸发室
evaporation charge 蒸发装料
evaporation coating 蒸发镀膜
evaporation source 蒸发源
evaporator 蒸发装置
even harmonic 偶次谐波
exact registration 精密对准
exactitude 准俑
exactness 准俑
exception handling 异常处理
excess carrier 过剩载劣
excess density 过量密度
excess holes 过剩空穴
excessiveness 冗余
exchange 交换
exchange area 电话交换区
exchange busy hour 电话局忙时
exchange energy 交换能量
excimer laser 准分子激光器
excimer laser etching 准分子激光腐蚀
excising 切割
excising lead former 链式带芯片切割/引线成形机
excitation 激励
excitation anode 激励阳极
excitation collision 激发碰撞
excitation conditions 激发条件
excitation cross section 激发截面
excitation level 激发能级
excitation luminescence 激励发光
excitation probability 激发概率
excitation radiation 激发辐射
excitation threshold 激励阈值
excitation time 激励时间
excitation voltage 激励电压
excited atom 受激原子
excited level 受激能级
excited molecule 受激分子
excited state 激励状态
excited state lifetime 激发状态寿命
excited wave 受激波
exciter 激励器
exciting current 励磁电流激励电流
exciting field 激励场
exciting light 激发光
exciton 激发子
excitron 激励管
exhaustion 排气
exhaustion rate 抽气速度
expandable gate 可扩展门电路
expander 扩展器膨胀器
expansion 扩展
expansion coefficient 膨胀系数
expansion ratio 膨胀系数
expected life 预估寿命
expert system on a chip 专家系统芯片
explosion 爆发
exposed film 曝露的膜
exposed region 曝露区
exposed surface 曝露面
exposer 曝光器
exposing radiation 曝光辐射
exposure 曝光
exposure dose 照射剂量
exposure field 辐照场
exposure meter 曝光计
exposure monitor 曝光计
exposure source 曝光辐射源
exposure wavelength 曝光辐射波长
extension 扩展
extension telephone 电话分机
exterior antenna 室外天线
external component 外元件
external memory 外存储器
external modulation 外部灯
external photoelectric effect 外部光电效应
extinction 消光
extra high pressure discharge 超高压放电
extra high vacuum 超高真空
extra large scale integration 特大规模集成
extranuclear electron 核外电子
extraordinary beam 非常光线
extraordinary ray 非常光线
extraordinary wave 异常波
extrinsic conduction 杂质导电
extrinsic conductivity 非本甄导率
extrinsic properties 非本赵质
extrinsic semiconductor 含杂质半导体
prom 现场可编程序只读存储器
f scope f型显示器
f/f 触发电路
fabrication facilities 生产设备
fabrication processing 工艺处理
fabry perot laser 法布里 珀罗激光器
face 晶面
face centered lattice 面心立方晶格
face centred cubic lattice 面心立方晶格
face down bonding 倒装焊接
face down chip 倒装芯片
face down integrated circuit 倒装芯片集成电路
faceplate 面板
facility 设备
facsimile 传真
facsimile apparatus 传真机
facsimile coding 传真编码
facsimile communication 传真通信
facsimile equipment 摹写通信设备
facsimile information 传真信息
facsimile network 传真网
facsimile picture 传真图像
facsimile receiver 传真接收机
facsimile system 传真系统
facsimile telegram 传真电报
facsimile telegraphy 传真电报
facsimile transmitter 传真发送机
factor 倍增器
factory programmable chip 制造厂家可编程序集成电路
factory programmable read only memory 制造厂家可编程序只读存储器
fade in 淡入
fadeout 淡出
fader 音量控制器
fading 衰减
fading by absorption 吸收衰落
fading by interference 干扰性衰落
fading compensate antenna 抗衰落犬线
failure 故障
failure rate 故障率
false 错误的
false echoes 假回波
false signal 错误信号
family 族
family of characteristics 特性曲线族
famos 浮栅雪崩注入型金属氧化物半导体
fan aerial 扇形天线
fan antenna 扇形天线
fan in 扇入端数
fan marker 扇形指示器
fan out 扇出端输数
fan out capability 扇出能力
far contact printer 接近式曝光装置
far end crosstalk 运端串扰
far infrared laser 远红外激光器
far infrared radiation 远红外辐射
far infrared ray 远红外线
far ultraviolet laser 远紫外激光器
far ultraviolet radiation 远紫外辐射
far uv laser 远紫外激光器
faraday cylinder 法拉第圆筒
faraday rotation 法拉第旋转
fast coincidence circuit 高速符合电路
fast detector 快速探测器高速检测器
fast diffusant 快扩散杂质
fast electron 快电子
fast fourier transform 快速傅里叶变换
fast pumpdown 高速抽气
fast resist 高灵敏光刻胶
fast response 快速响应
fatigue 疲劳
fatigue failure 疲劳破坏
fault 故障
fault localization 故障探测
fault locating 故障探测
fault tolerance 失效容差
faultless mask 无缺陷掩模
fax 传真
fax communication 传真通信
fdisply f型显示器
fdm 频分多路传输
fdx 全双工
fdx operation 双工工作
feature 结构元件
feature dimension 形体尺寸
feature edge 形体边缘
feature placement 形体布置
feed 供给
feed holes 输送孔
feedback 反馈
feedback amplifier 反馈放大器
feedback circuit 反馈电路
feedback coil 回授线圈
feedback factor 反馈系数
feedback loop 反馈电路
feedback network 反馈电路
feedback oscillator 反馈振荡器
feedback ratio 反馈系数
feedback resistance 反馈电阻
feeder 馈电线;给料器馈电装置
feedforward 正反馈
feeding 供给
fermi distribution 费米迪拉克分布
fermi level 费米能级
ferrimagnetism 铁氧体磁性
ferrite 铁氧体
ferrite circulator 铁氧体循环器
ferrite film 铁氧体薄膜
ferrite phase modulator 铁氧体掂器
ferrite phase shifter 铁氧体移相器
ferrite resonator 铁氧体谐振器
ferrite rod antenna 铁氧体棒形天线
ferrite switch 铁氧体开关
ferrite waveguide 铁氧体波导管
ferroelectric 铁电体
ferroelectric film 铁电薄膜
ferroelectricity 铁电性
ferromagnet 铁磁体
ferromagnetic film 铁磁膜
ferromask 氧化铁掩模
fet 场效应晶体管
fet amplifier 场效应晶体管放大器
fet array 场效应晶体管阵列
fet channel 场效应晶体管沟道
fet input 输入场效应晶体管
fet oscillator 场效应晶体管振荡器
ff 触发电路
fgt 浮栅金属氧化物半导体晶体管
fiber 纤维
fiber axis 纤维轴
fiber cable 纤维光缆的
fiber core 纤维芯
fiber glass 玻璃纤维
fiber glass epoxy laminate 玻璃纤维环氧尸迭层板
fiber glass optics 玻璃纤维光学
fiber laser 纤维激光器
fiber loss 纤维损失
fiber optic cable 纤维光缆的
fiber optic sensor 光学纤维敏感元件
fiber optic system 光学纤维系统
fiber optics communication 光学纤维通信
fibl 聚焦离子束光刻
fic 膜集成电路
fidelity 准俑
fiducial cross 基准十字标线
fiducial mark 对准记号
field 场
field alterable control element 现场可变控制元件
field blanking 场消隐
field by field alignment 芯片的分步重复对准
field component 场分量
field convergence 场会聚
field deflection 场偏转
field deflection oscillator 场偏转振荡器
field desorption 场解吸
field distribution 场分布
field divider 场分频器
field effect 场效应
field effect device 场效应掐
field effect phototransistor 场效应光电晶体管
field effect tetrode 四极场效应晶体管
field effect transistor 场效应晶体管
field emission 电场发射
field emission cathode 场致发射阴极
field emission electron microscope 场致发射电子显微镜
field emission ion microscope 场致发射离子显微镜
field emission microscope 场致发射显微镜
field emitter 场致发射阴极
field evaporation 场致蒸发
field frequency 场频
field insulation 场氧化物隔离
field inversion 电场反转
field ion emission 场致离子发射
field ionization gauge 场致电离真空计
field of view 视野
field oxidation 场氧化
field oxide film 场氧化膜
field oxide implantation 场氧化层离子注入
field oxide isolator 场氧化绝缘层
field oxide region 场氧化区
field pick up 室外摄影
field programmable logic array 现场可编程序逻辑阵列
field programmable logic family 现场可编程序逻辑集成电路系列
field programmable logic integrated circuit 现场可编程序逻辑集成电路
field programmable read only memory 现场可编程序只读存储器
field quantum 场量子
field strength 场强
field strength meter 场强计
field synchronization 场同步
fieldistor 场效应晶体管
fight path computer 飞行航线计算机
filament 灯丝
filament voltage 灯丝电压
filamentary cathode 直热式阴级
filamentary transistor 线状晶体管
filled adhesive 填充的粘合剂
filled band 满带
filled level 满带能级
filled shell 满壳层
filler 填料
filling 填充
filling factor 线圈间隙因数
film 薄膜
film carrier 膜形载体
film carrier assembly 膜式载体组装
film carrier bonding 膜形载体上芯片接合
film chip carrier 膜形芯片载体
film circuit assembly 薄膜电路组装
film circuitry 薄膜电路
film conductor 薄膜导体
film electronics 薄膜电子学
film integrated circuit 膜集成电路
film mounted ic 膜载组装的集成电路
film reader 影片扫描器影片阋读机
film resistor 薄膜电阻器
film scanner 影片扫描器影片阋读机
film technique 薄膜工艺
film waveguide 薄膜波导管
filter 滤波器
filter choke 滤波扼力
filter hybrid 滤波旗合电路
filtering 滤波
fin 散热片
final amplifer 终端放大器
final inspection 最后检查
final selector 终接器
final smoothing 最终精磨
final stage 末级
final state 最终状态
final vacuum 极限真空度
final yield 最后成品率
finder 无线电测向器
finding 搜索寻线
fine adjustment 微调
fine alignment 精密对准
fine control 精密控制
fine featured resist 精细结构光刻用抗蚀剂
fine finish 精加工
fine geometry mask 细致几何形状掩模
fine grain 细粒
fine leak 微弱漏
fine line 细线
fine line definition 精细线形成
fine line emulsion 高分辨力乳胶
fine line geometry 精细线几何尺寸
fine line integrated circuit 精细线集成电路
fine line lithography 细线光刻
fine line metallization 精细线金属化
fine line pattern 精细线图形
fine line resolution 细线分辨力
fine linewidth pattern 精细线图形
fine link mask 细致几何形状掩模
fine pattern fabrication 精细图案制造
fine pattern geometry 精细线几何尺寸
fine pattern integrated circuit 精细线集成电路
fine pattern printing 细线光刻
fine registration 精密对准
fine tuning 细调
finger 梳形物
finger gate 梳状栅
finish 精加工
finish machining 最后加工
finishing groove 精加工槽
finned heat sink 翅式散热片
fip 固定互连图像
fipos 多孔氧化硅完全隔离
firing 点火
firing profile 烧结温度分布图
firing temperature 烧成温度
firmware building block 固件构成分程序
first anode 第一阳极
fissure 微裂纹
fitting 匹配
five unit code 五单位制电码
fixed aerial 固定天线
fixed antenna 固定天线
fixed attenuator 固定衰减器
fixed capacitor 固定电容器
fixed carrier 固定载劣
fixed charge 固定电荷
fixed echo 固定目标的回波
fixed error 系统错误
fixed frequency 标定频率
fixed frequency cyclotron 固定频率回旋加速器
fixed frequency laser 固定频率激光器
fixed grid 固定格栅
fixed interconnection pattern 固定互连图像
fixed interconnection pattern approach 固定互连图像方法
fixed interconnections 固定布线
fixed pattern metallization 固定图案金属化
fixed programming 固定程序设计
fixed resistor 固定电阻器
fixed service 定点通信业务
fixed target 固定目标
fixed wiring 固定布线
fixing 固定
fixture 安装用具
fl prom 熔丝连接可编程序只读存储器
flag 标志
flame excitation 火焰激发
flame spectrum 火焰光谱
flange coupling 法兰连接
flap attenuator 刀型衰减器
flash 闪光
flash compression 爆炸压缩
flash duration 闪光持续时间
flash evaporation 快速蒸发
flash evaporator 闪蒸蒸发器
flash getter 蒸发吸气剂
flash point 闪点
flash test 高压绝缘试验
flash tube 闪光管
flashlamp 闪光灯
flashtube 闪光灯
flat band 平带
flat cable 带状电缆
flat mirror 平面镜
flat pack 扁平管壳
flat pack assembler 扁平封装装置
flat pack integrated circuit 扁平封装集成电路
flat package 扁平管壳
flat panel display device 平面显示掐
flat top aerial 平顶天线
flat top antenna 平顶天线
flat tuning 粗调平直党
flating potential grid 自由栅极
flatness checker 平面度检测器
flaw 裂纹
fleming valve 佛莱铭管
flexibility 柔顺性
flexible carrier 软性载体
flexible coupling 弹性连接
flexible machining system 灵活加工系统
flexible printed circuit 软性印制电路
flexible programming 软程序设计
flexible waveguide 可弯曲波导管
flicker 闪烁
flicker effect 闪变效应
flicker frequency 闪烁频率
flicker noise 闪变噪声
flight simulator 飞行摸拟器
flip chip 倒装芯片
flip chip approach 倒装法
flip chip bonder 倒装焊接机
flip chip bonding 倒装焊接
flip chip bump 倒装芯片隆起焊盘
flip chip carrier 倒装芯片座
flip chip integrated circuit 倒装芯片集成电路
flip chip method 倒装法
flip flop 触发电路
flip flop circuit 触发电路
float zone crystal 浮区熔化晶体
float zone growth 浮区生长
float zone method 浮区提纯法
floated 浮动的
floating 浮动的
floating crucible technique 浮置坩埚技术
floating gate 浮置栅极
floating gate avalanche injection mos 浮栅雪崩注入型金属氧化物半导体
floating gate fet 浮栅场效应晶体管
floating gate ic 浮栅集成电路
floating gate mos 浮栅金属氧化物半导体
floating gate silicon process 浮栅硅金属氧化物半导体工艺
floating gate transistor 浮栅金属氧化物半导体晶体管
floating grid 自由栅极
floating island 浮岛
floating junction 浮动结
floating point calculation 浮动小数点运算
floating zone melting 浮区熔化
floating zone refining 浮区提纯
floating zone silicon 浮区熔化硅
floating zone techique 浮区提纯法
floating zone technique 浮区提纯技术
flood exposure 整片曝光
floor planning 元件平面布置
flow 流
flow of electrons 电子流
flow soldering 射粮接
flow temperature 怜温度
flowing gas laser 气两激光器
fluctuating signal 起伏信号
fluctuation noise 起伏噪声
fluctuations 起伏现象
fluid free vacuum 无油真空
fluid sensor 铃传感器
fluidity 怜性
fluidized bed coating 怜层涂法
fluidized bed packaging 怜层密封
fluorescence spectrum 荧光光谱
fluorescent lamp 荧光灯
fluorescent screen 荧光屏
fluorite 萤石
flusher 冲洗器
flutter 颤动;电视图象的颤动现象
flutter effect 颤动效应
flux 焊剂
flux free bonding 无焊药焊接
flux free soldering 无焊药焊接
fluxer 焊剂涂敷器
fluxing agent 焊剂
flyback 光的回程
flyback blanking 逆程消隐
flying spot scanner 飞点扫描器
flying spot scanning 飞点扫描法
flywheel synchronization 飞轮同步
fm 频率灯
fm radar 档雷达
fm receiver 档接收机
focal distance 焦距
focal length 焦距
focal spot 焦点
focus 焦点
focus rays 集聚射线
focused beam laser 聚焦束激光器
focused ion beam lithography 聚焦离子束光刻
focusing 聚焦
focusing by accelerating field 加速场聚焦
focusing coil 聚焦线圈
focusing electrode 聚焦电极
focusing field 聚集场
focusing magnet 聚焦磁铁
focusing system 聚集装置;聚焦系统
focusing unit 聚焦装置
fog 黑斑
folded dipole 折合偶极子
forbidden band 禁带
forbidden bandwidth 禁带宽度
forbidden gap 禁带宽度
forbidden line 禁线
forbidden transition 禁戒跃迁
forced air cooling 强制空气冷却
forced betatron oscillation 受迫自由振荡
forced circulation 强制循环
forced vibrations 强制振动
forced water circulation 强制水循环
forecast 预告
forecasting 预报
foreign atom 异类原子
foreign material 异物
foreign substrate 异质衬底
form 表格
formant 共振峰
formants 特盏率
formative time 建立时间
former 成形机
forming 成形
formular 表格
forward bias 正偏
forward bias conduction 正偏压导电
forward biased current 正向偏压电流
forward biased diode 正向偏压二极管
forward biased junction 正向偏压结
forward channel 前向信道
forward characteristic 正向特性
forward current 正向电流
forward direction 通两向
forward impedance 正向阻抗
forward path 前向信道
forward resistance 正向电阻
foundry customer 专用集成电路用户
four bit chip 四位微处理机芯片
four layer transistor 四层晶体管
four level laser 四能级激光器
four phase logic 四相逻辑
four point probe 四点探针
four point probe measurement 四探针测量
four point probe technique 四探针技术
four pole 四极
four pole equivalent network 四端网络等效电路
four wire circuit 四线线路
four wire line 四线线路
four wire repeater 四线制增音器
four wire terminating set 四线二线变设备
fourier analysis 傅里叶分析
fourier analyzer 傅里叶分析器
fourier hologram 傅里叶变换全息图
fourier inversion 傅里叶反变换
fourier transform 傅里叶变换
fourier transformation 傅里叶变换
fpla 现场可编程序逻辑阵列
fplf 现场可编程序逻辑集成电路系列
fracture strength 抗断强度
fracturer 破裂器
fragility 脆性
fragmentation 破碎
frame 框架;画面
frame antenna 框形天线
frame attacher 框架连接器
frame frequency 帧频
frame period 帧周期
frame scan 帧扫描
frame sweep unit 帧扫描部分
frame sync pulse 帧同步脉冲
frame synchronization 帧同步
frame synchronizer 帧同步机
frames per second 帧频
framing 图框配合
free atmosphere 自由大气
free carrier 自由载劣
free electron 自由电子
free electron laser 自由电子激光器
free oscillations 自由振动
free path 自由行程
free path length 自由程
free progressive wave 自由行波
free radical 自由基
free radical recombination 自由基复合
free running multivibrator 非稳态多谐振荡器
free running sweep 自激扫描
free space 自由空间
free space wavelength 自由空间波长
free vibrations 自由振动
free wave 自由波
freezing test 耐寒性试验
frenkel defect 弗伦克尔缺陷
freon plasma 氟里昂等离子体
frequency 频率
frequency assignment 频率分配
frequency calibration 频率校准
frequency change 频率变换
frequency changer 混频管
frequency characteristic 频率特性
frequency code 频率码
frequency code signal 频率码信号
frequency compensation capacitor 频率补偿电容器
frequency conversion 变步
frequency converter 变频器
frequency converter of laser radiation 激光辐射变频器
frequency demodulator 频率解调
frequency deviation 频率偏移
frequency discrimination 鉴频
frequency discriminator 鉴频器
frequency distortion 频率失真
frequency diversity 频率分集
frequency diversity radars 频率分集雷达
frequency divider 分频器
frequency division 分频
frequency division date link 频率分割数据传输装置
frequency division multiplex 频分多路传输
frequency division multiplexing 分频多路传输
frequency doubler 倍频器
frequency drift 频率偏移
frequency indicator 频率指示器
frequency jitter 频率颤动
frequency jumping 频率跃变
frequency match 频率配合
frequency modulated light 档光
frequency modulated radar 档雷达
frequency modulated transmitter 档发射机
frequency modulation 频率灯
frequency modulator 档器
frequency multiplexing 频分多路
frequency multiplier 频率倍增器
frequency protection 频率保护装置
frequency pulling 频率牵引
frequency range 频率范围
frequency record 频率记录扳
frequency response 频率响应
frequency response characteristic 频率响应特性
frequency response curve 频率响应特性
frequency scanning 频率扫描
frequency selective limiter 频率选择限制器
frequency shift 频移
frequency shift keying 频移灯
frequency stability 频率稳定度
frequency stabilization 频率稳定
frequency standard 频率标准
frequency sweep 扫频
frequency swing 频率摆动
frequency synthesis 频率合成
frequency synthesizer 频率合成器
frequency tolerance 容许频偏
frequency transformation 频率变换
frequency tripler 频率三倍器
frequency tuning 频率党
frequencymeter 频率计
fresnel region 菲涅耳区
fringe 条纹
fringe counter 条纹计数器
from 制造厂家可编程序只读存储器
front contact 前触点
front end circuit 前置电路
front end design 前端设计
front porch 前沿
front surface field 前面场
front to back ratio 方向性比
front to back registration 前后对准
front wafer surface 晶片正面
frontwall solar cell 前壁太阳能电池
ft 傅里叶变换
ftr 功能处理量
fuel cell 燃料电池
full custom ic 全定制集成电路
full duplex 全双工
full field exposure 整片曝光
full isolation by porous oxidized silicon 多孔氧化硅完全隔离
full slice integration 整片集成
full slice technology 整片工艺
full track recording 全磁道录音
full wafer aligner 整片对准器
full wafer alignment 整片对准
full wafer chip 整片管芯
full wafer exposure 整片曝光
full wafer lithography 整片光刻
full wafer mask 整片掩模
full wafer memory 整片式存储器
full wave rectifier 全波整流
fully automated network design 全自动网络设计
fully enclosed air isolation 全封闭式空气绝缘
fully ionized plasma 完全电离等离子体
fully reflecting surface 全反射面
function generator 函数发生器
function switch 函数开关
functional array 功能阵列
functional capability 操总力
functional check 功能检验
functional chip 功能芯片
functional complexity 功能复杂性
functional design 功能设计
functional device 功能掐
functional electronic block 功能电子块
functional electronics 功能电子学
functional integrated circuit 功能集成电路
functional logic 功能逻辑
functional modularity 功能模块性
functional partitioning 功能划分
functional power 操总力
functional testing 功能测试
functional throughput rate 功能处理量
functional unit 功能元件
functionality 操总力
fundamental frequency 基频
fundamental mode 竹动模式
furnace 炉
furnace annealing 炉内退火
furnace boat 炉小舟
furnace module 炉组件
furnace profile 炉温度分布图
furnace slice carrier 炉内晶片处理用盒
fuse link 熔丝连接环
fuse link technology 熔丝连接环技术
fuse logic 熔丝烧断可编程序逻辑阵列
fuse programmable array logic 熔丝烧断可编程序逻辑阵列
fuse programmable chip 熔丝烧断可编程序芯片
fuse resistor 保险丝电阻器
fused junction 熔融结
fused junction transistor 合金结晶体管
fused quartz 熔融石英
fused silica crucible 熔融石英坩埚
fuser 熔化炉
fusible link 熔丝连接环
fusible link programmable read only memory 熔丝连接可编程序只读存储器
fusing 熔融
fusion point 熔点
fusion reaction 热核反应
fusion reactor 热核反应堆
fusion temperature 聚变温度
fuzziness 不清晰gaas 砷化镓
gaas fet 砷化镓场效应晶体管
gaas laser 砷化镓激光器
gaas laser diode 砷化镓激光二极管
gaas logic gate 砷化镓逻辑门
gage 压力计
gain 放大
gain adjustment 增益控制
gain band width product 增益 带宽积
gain bandwidth product 增益带宽积
gain control 增益控制
gain factor 增益系数
gain stabilization 放大稳定化
gallium aluminum arsenide 镓铝砷
gallium arsenide 砷化镓
gallium arsenide fet 砷化镓场效应晶体管
gallium arsenide laser 砷化镓激光器
gallium arsenide laser diode 砷化镓激光二极管
gallium arsenide logic 砷化镓逻辑
gallium arsenide logic gate 砷化镓逻辑门
gallium phosphide 磷化镓
gamma 灰度系数
gamma flux 通量
gamma free flux 无 通量
gamma lifetime 线跃迁寿命
gamma line 谱线
gamma meter 射线检测仪
gamma radiation 辐射
gamma ray beam 射束
gamma ray holography 射线全息照相术
gamma ray laser 射线激光器
gamma ray quantum 量子
gamma ray transition 跃迁
gamma sensitivity 辐线灵敏度
gang 组
gang bonder 群焊机
gang bonding 群焊
gang bonding bump 群焊用隆起焊盘
gang bonding integrated circuit 群焊集成电路
gang switch 联动开关
gap 间隙
gap filler radar 填隙雷达
gap loss 间隙损耗
gap printer 间隙式晒印器间隙式曝光器
gap state 能隙状态
garbage 干扰
garble 错乱
garbling 错乱
garnet film 石榴石膜
gas cell 充气光电池
gas cleaning 气体净化
gas decomposition 气体分解
gas deposition 气相淀积
gas discharge 气体放电
gas discharge device 气体放电掐
gas discharge display 气体放电显示
gas discharge lamp 气体放电灯
gas discharge laser 气体放电激光器
gas discharge noise 气体放电噪声
gas discharge plasma 气体放电等离子体
gas etchant 气体腐蚀剂
gas filled rectifier 充气整流
gas filled switching tube 充气开关管
gas filled tube 充气管
gas flow 气体量
gas flow laser 气两激光器
gas handling 气体典
gas ionization 气体电离
gas laser 气体激光器
gas mixture laser 混合气体激光器
gas phase composition 气相成分
gas phase diffusion 气相扩散
gas phase doping 气相掺杂
gas plasma oxidizer 气体等离子氧化装置
gas plating 气相淀积
gas purification 气体净化
gas recombination 气体复合
gas source diffusion 气体源扩散
gas switching tube 开关管
gas valve 气体阀
gasdynamic laser 气动激光器
gaseous diffusion 气相扩散
gaseous discharge 气体放电
gaseous mixture 气体混合物
gaseous mixture laser 混合气体激光器
gaseous phase reaction 气相反应
gaseous plasma generation 气态等离子体生成
gaseous source predeposition 气体源预淀积
gate 门
gate array 门阵列
gate array approach 门阵列法
gate array chip 门阵列芯片
gate array integration 门阵列集成电路
gate array master chip 门阵列芯片
gate cell 门单元
gate complexity 门集成度
gate connection 栅极引线
gate count 门数
gate delay 门信号延迟
gate delay time 门信号延迟时间
gate density 等效门电路密度
gate dielectric 栅极绝缘层
gate equivalent 等效门
gate equivalent circuit 等效门电路
gate expander 门扩展器
gate groove v 型栅极槽
gate injection mos 栅注入式金属氧化物半导体
gate insulation breakdown 栅极绝缘哗
gate insulation layer 栅极绝缘层
gate insulator 栅极绝缘层
gate level simulation 门电路级模拟
gate line 栅极线
gate metal 栅极金属
gate output 门电路输出
gate overlap 栅极重叠
gate oxidation 栅极氧化
gate oxide 栅氧化层
gate oxide defect 栅氧化层缺陷
gate oxide integrity 栅极氧化层的完整性
gate pattern 栅极图案
gate pulse 门脉冲
gate region 栅极区
gate speed 门电路速度
gate strip 栅极带
gate to substrate breakdown 栅极绝缘哗
gate width 栅极宽度
gateway 网关
gating pulse 门脉冲
gaussian distribution 高斯分布
gaussian impurity profile 高斯杂质分布图
gaussian law 高斯定律
gaussian noise 高斯噪声
gb product 增益带宽积
ge 测量仪器
gee system g 导航系统
geiger muller counter 盖革 弥勒计数管
geissler tube 盖斯勒管
gel 凝胶
general purpose radar 通用雷达
generation 发生
generation center 生成中心
generation rate 产生率
generation recombination noise 产生复合噪声
generation time 产生寿命
generator 振荡器发生器
genereator 扫描振荡器
geometric layout 几何学的布置布线图
geometrical optics 几何光学
geometry 几何形状
geometry control 尺寸控制
geometry design 几何形状设计
geometry error 几何结构误差
geometry rule 图形设计规则
geometry size 图形设计规则
germania 氧化锗
germanium 锗
germanium junction 锗结
germanium oxide 氧化锗
germanosilicate glass 锗硅酸盐玻璃
getter 吸气剂
getter ion pump 吸气离子泵
getter pump 吸气泵
gettering 吸气
ghost 双重图象
ghz 吉赫
giant pulse laser 巨脉冲激光器
gibberish 尤用数据
gigacycle 吉赫
gigahertz 吉赫
gigascale integration 千兆集成度
gimic 保护环隔离单片集成电路
gimos 栅注入式金属氧化物半导体
gird resistance 栅极电阻
glass 玻璃
glass and metal package 玻离 金属管壳
glass binder 玻璃状粘合剂
glass capsulation 玻璃密封
glass cement 玻璃粘合剂
glass ceramic 玻璃陶瓷
glass ceramic package 玻璃 陶瓷外壳
glass coated aluminum 敷玻璃铝
glass encapsulation 玻璃密封
glass envelope 玻璃封装
glass epoxy board 玻璃纤维环氧尸板
glass fiber 玻璃纤维
glass fiber bundle 玻璃纤维束
glass fiber cable 玻璃纤维电缆
glass fiber laminate 玻璃纤维迭层板
glass fiber laser 玻璃纤维激光器
glass fiber lightguide 玻璃纤维光波导管
glass filament 玻璃丝
glass film 玻璃薄膜
glass header 玻璃管座
glass laser 玻璃激光器
glass mask 玻璃掩模
glass mask substrate 玻璃掩模衬底
glass passivated capacitor 玻璃钝化的电容器
glass passivation 玻璃钝化
glass preform 玻璃压片
glass sealing 玻璃封装
glass structure 玻璃结构
glass substrate 玻璃衬底
glass technology 玻璃工艺学
glass to ceramic seal 玻璃 陶瓷密封
glass to metal seal 玻璃 金属密封
glass transition 玻璃相变
glassivation 玻璃钝化
glazed alumina 涂釉氧化铝
glazed ceramics 涂釉陶瓷
glide path beacon 下滑道信标
glide path landing system 下滑着陆系统
glide path transmitter 下滑指向标发射机
glitch 假信号
global alignment 全局对准
global telecommunication 全球电传通信
glow curve 辉光曲线
glow discharge 辉光放电
glow discharge decomposition 辉光放电分解
glow discharge deposition 辉光放电沉积
glow discharge indicator 辉光放电指示器
glow discharge plasma 辉光放电等离子体
glow discharge tube 辉光放电管
glue 胶水
glue applicator 涂胶器
gold 金
gold ball bonding 金丝球焊
gold bump 金隆起焊盘
gold doped process 掺金工艺
gold doping 掺金
gold mask 金掩模
gold plated lead 镀金引线
gold plating 镀金
gold silicon eutectic 金 硅共晶
gold silicon preform 金 硅共晶塑扭塑
goniometer 测角仪
gradation 浓淡度
grade 度
grade die sort 芯片组分类
grade of purity 纯度
graded band gap 缓变禁带
graded impurity concentration 缓变杂质浓度
graded junction 缓变结
graded junction varactor 缓变结变容二极管
graded multiple 分品复接
gradient 倾斜度
gradient method 梯度法
gradient of temperature 温度梯度
grading 分级连接
gradual taper 缓变切面
gradual transition 缓变结
grain 晶粒
grain boundary 晶粒边界
grain boundary migration 晶粒间界迁移
grain boundary recombination 晶粒边界复合
gramophone 留声机
grand scale integration 大规模集成
granular microphone 炭粒式话筒
granule 细粒
graph text 图形文本
graphecon 阴极射线存储管
graphic plotter 制图机
graphics 图形
graphio epitaxy 制图 外延法
graphite carrier 石墨载体
graphite strip heater 石墨条加热器
graphoepitaxy 制图 外延法
graser 射线激光器
grating 棚
grating reflector 栅状反射器
grating spacing 栅线间距
grating type solar cell 栅型太阳能电池
gray code 格雷编码
grazing angle 入射余角
green adder 绿色加法器
green beam 绿色电子束
green black level 绿路黑电平
green gain control 绿色增益蝶
green laser 绿色激光器
green light laser 绿色激光器
green peak level 绿峰值电平
green primary 绿基色
green primary signal 绿基色信号
green video signal 绿色图象信号
grey scale 灰色标度
grey scale signal 灰度信号
grid 棚
grid bias 栅偏压
grid blocking capacitor 栅极电容器
grid capacitor 栅极电容器
grid condenser 栅极电容器
grid control 棚极控制
grid current 栅流
grid cut off voltage 栅极截止电压
grid detection 栅极检波
grid emission 栅极放射
grid glow tube 栅极辉光放电管
grid indicator 格子型指示器
grid mesh 栅极网孔
grid potential 栅极电位
grid rectification 栅极检波
grinder 研磨机
grinding 研磨
grinding dust 研磨粉
grinding wheel 磨轮
groove 槽
groove angle 槽角
groove isolation 槽隔离
groove shape 槽形
groove spacing 纹槽间距
groove width 槽宽
grooved gate mos transistor v 型栅金属氧化物半导体晶体管
grooving 成形槽
gross information content 总信息量
gross leak 大泄漏
ground 接地
ground absorption 地面吸收
ground antenna 地面天线
ground based repeater 地面转发站
ground constants 大地常数
ground controlled approach radar 地面控制进场雷达
ground controlled interception 地面指挥截击
ground distance 地面距离
ground echo 地面回波
ground level 基态能级
ground loop 接地回路
ground mode 基模
ground position indicator 飞机对地位置指示器
ground radar 地面雷达
ground radiostation 地面无线站
ground reflected wave 地面反射波
ground reflection 地面反射
ground return 地面反射
ground state 基态
ground state splitting 基态劈裂
ground state transition 基态跃迁
ground surveillance radar 地面监视雷达
ground wave 地波
grounded cathode amplifier 阴极接地放大器
grounded grid amplifier 栅极接地放大器
grounded grid circuit 栅极接地电路
grounded grid triode 栅极接地三极管
grounded plate amplifier 阳极接地放大器
grounding 接地
group 群
group busy signal 群占线信号
group centre 中心局
group delay 群时延
group delay time 群延迟时间
group frequency 群频率
group iii v compound semiconductor material 族化合物半导体材料
group iii v semiconductor 族半导体
group modulation 群灯
group retardation 群时延
group selector 群选择器选组器
group v impurity v 族杂质
group velocity 群速度
grouping 集聚
grower 生长装置
growing zone 生长区
grown crystal 生长晶体
grown film 生长膜
grown junction 生长结
grown junction transistor 生长结晶体管
growth 生长
growth anisotropy 生长蛤异性
growth boat 晶体生长小舟
growth center 生长中心
growth conditions 生长条件
growth defect 生长缺陷
growth direction 生长取向
growth face 生长面
growth melt 生长溶融
growth nucleation 生长核形成
growth of crystal 晶体生长
growth orientation 生长取向
growth pattern 生长模型
growth pyramid 生长棱锥缺陷
growth rate 生长速度
growth solution 生长溶液
growth step 生长阶
gsg 锗硅酸盐玻璃
gsi 大规模集成
guard band 保护频带;防护频带
guard ring 保护环
guard ring isolated monolithic integrated circuit 保护环隔离单片集成电路
guarding diffusion 保护环扩散
guidance 制导
guidance equipment 制导设备
guidance network 制导网
guidance station 制导站
guidance system 制导系统
guidance tracking receiver 导引跟踪接收器
guide 波导管
guide characteristic wave impedance 波导管特性波阻抗
guide pin 导销
guide wavelength 波导管波长
guided propagation 导行传播
guided wave 被导波
guiding fiber 纤维波导管
gun 电子枪
gunn diode 耿二极管
gunn diode mixer 耿氏二极管混合器
gunn effect 耿效应
gunn effect device 耿氏效应掐
gunn effect diode 耿氏效应二极管
gunn effect integrated circuit 耿氏效应集成电路
gunn mode 耿氏模
gunn oscillator 耿氏振荡器
gyrator 回转器
gyro bearing 回转仪方位
gyro frequency 陀螺频率
gyromagnetic medium 旋磁介质
gyroscope 陀螺仪
gyrotron 回旋管h bend h 平面弯头
h maser 氢微波激射器
h mos mos technology 高性能金属氧化物半导体技术
h parameter 混合参量
h plane bend h 平面弯头
h plane t junction h 面 t 型接头
h radar system h 雷达系统
h3o laser 水蒸汽激光器
halation 成晕现象
half cycle 半周期
half duplex 半双工的
half duplex circuit 半双工电路
half duplex operation 半双工工作半双向操作
half duplex repeater 半双工中继器
half reflecting mirror 半反射镜
half tone 半色调
half tone image 中间色图象
half transmitting mirror 半透射镜
half value layer 半衰减层
half wave 半波长
half wave antenna 半波天线
half wave dipole 半波偶极子
half wave layer 半波层
half wave length 半波长
half wave rectifier 半波整流
halfwidth 半宽度
halfwidth of line 谱线半宽度
halide leak detector 卤素探漏器
halide photoresist 卤化物光刻胶
hall cell 霍耳单元
hall coefficient 霍耳系数
hall constant 霍耳常数
hall effect 霍耳效应
hall effect device 霍耳效应掐
hall effect integrated circuit 霍耳效应集成电路
hall generator 霍耳发生器
hall mobility 霍耳迁移率
halo 光环
halt 停止
hamilton's form 标准形
hamming code 汉秒
hand dosimeter 手持剂量计
hand microphone 手持式传声器
hand operation 手动操作
hand radar 便携式雷达
hand set 手持送受话器
handling 键控
handshake 信号交换
handshaking 信号交换
hard error 系统错误
hard gamma 硬 量子
hard radiation 硬辐射
hard solder 硬焊料
hard superconductor 硬超导体
hard vacuum 高真空
hard x rays 硬 x 射线
hardbaking 坚膜
hardener 硬化剂
hardening 硬化
hardening temperature 硬化温度
hardness 硬性
hardware 硬件
harmonic 谐波
harmonic amplifier 谐波放大器
harmonic analyzer 谐波分析器
harmonic antenna 谐波天线
harmonic distortion 谐波失真
harmonic generator 谐波发生器
harmonic mixer 谐波混频器
harmonic oscillations 谐振荡
harmonic suppression 谐波抑制
harmonic waveguide 谐波波导管
harmonics generation 谐波振荡
harness 点火
harp aerial 扇形天线
harp antenna 扇形天线
hash 尤用数据
hazard rate 故障率
hbt 异质结双极型晶体管
hcd 热载劣二极管
hdtl 混合二极管 晶体管逻辑
hdtv 高清晰度电视
hdx 半双工的
head adjustment 磁头蝶
head amplifier 前置放大器
head phone 头窜话器头唇耳机
head receiver 头窜话器头唇耳机
head set 头代机
head telephone 头窜话器头唇耳机
header 标题
header assembly 管座装置
heading 标题
heading indicator 航向指示器
heat 热
heat absorbent surface 冷却面
heat balance 热平衡
heat cleaning 热清洗
heat conduction 热传导
heat dissipation 散热
heat exchange 热交换
heat exchanger 热交换器
heat oxidation 热氧化
heat radiation 热辐射
heat radiator 散热器
heat removal 热量排除
heat resistance 耐热性
heat sink 散热器
heat test 加热试验
heat transfer area 传热面积
heat treatment 热处理
heat up cycle 加热周期
heater 加热器
heater cathode 旁热式阴极
heating 加热
heating surface 加热表面
heavily doped material 重掺杂材料
heavily doped region 重掺杂区
heavy doping 重掺杂
heavy hydrogen 重氢
hectometric waves 百米波
height control 高度蝶
height to width aspect ratio 高宽比
helipot 螺旋电位计
helium 氦
helium cooling 氦气冷却
helium leak detector 氦检漏器
helium neon laser 氦氖激光器
helium spectrometer 氦分光计
hemt 高电子迁移率晶体管
henrymeter 电感计
heptode 七极管
heptode converter 五栅管混频器五栅管变频器
hermetic package 气密外壳
hermetic seal 密封
hermetically sealed connector 密封连接器
hertz dipole 赫兹偶极子
hertzian telegraphy 无线电报
het 热电子晶体管
hetero epitaxial diode 异质外延二极管
heterocharge 混杂电荷
heterodyne 外差振荡器
heterodyne frequency 外差频率
heterodyne frequencymeter 外差式频率计
heterodyne oscillator 外差振荡器
heterodyne receiver 外差接收机
heterodyne reception 外差接收
heterodyne wavemeter 外差式波长计
heterodyning 差拍变频
heteroepitaxal film 异质外延膜
heteroepitaxial deposition 异质外延淀积
heteroepitaxial growth 异质外延生长
heteroepitaxy 异质外延
heterogeneity 异质性
heterogeneous radiation 非单色辐射
heterogeneous reaction 非均相反应
heterogeneous structure 异质结结构
heterogenous junction 异质结
heterointegrated circuit 异质结集成电路
heterointerface 异质结面
heterojunction 异质结
heterojunction bipolar transistor 异质结双极型晶体管
heterojunction diode 异质结二极管
heterojunction interface 异质结面
heterojunction laser 异质结激光器
heterojunction photodiode 异质结光电二极管
heterojunction structure 异质结结构
heterojunction transistor 异质结晶体管
heterolaser 异质结激光器
heterostructure 异质结构
heterostructure bipolar transistor 异质结双极型晶体管
hf biasing 高频偏压
hf drying 高频烘干
hic 混合集成电路
high aspect ratio region 大长宽比区
high capacity evaporator 大容量蒸发器
high concentration 高浓度
high concentration layer 高浓度层
high current implanter 大电岭子注入装置
high definition television 高清晰度电视
high density chip 高密度芯片
high density integrated circuit 高密度集成电路
high density isolation technology 高密度隔离技术
high density layout 高密度布置图
high density memory 高密度存储器
high density packing 高密度封装
high doping 重掺杂
high dosage ion implantation 高剂量离子注入
high efficiency laser 高效率激光器
high electron mobility transistor 高电子迁移率晶体管
high energy electron diffraction 高能电子衍射
high energy ion implantation 高能离子注入
high energy laser 高能激光器
high energy level 高能级
high energy pulse 高能脉冲
high energy radiation 高能辐射
high fan out 高输出端数
high fidelity 高真实性
high fidelity transfer 高保真度图象传递
high frequency amplifier 高频放大器
high frequency cable 高频电缆
high frequency circuit 高频电路
high frequency correction 高频校正
high frequency discharge 高频放电
high frequency ferrite 高频铁氧体
high frequency furnace 高频电炉
high frequency heating 高频加热
high frequency ion etching 高频离子腐蚀
high frequency measurement 高频测量
high frequency oscillator 高频振荡器
high frequency power amplifier 高频功率放大器
high frequency pumping 高频激励
high frequency signal 高频信号
high frequency stage 高频级
high frequency transmission 高频传输
high frequency trigger 高频触发器
high frequency tube 高频管
high gamma tube 高加玛管
high input 高输入
high intensity source 高强度辐射源
high level data link control 高级数据链路控制
high level injection 高能级注入
high level logic 高电平逻辑电路
high light 图象中最亮处
high magnification inspection 高放大率显微镜检查
high mobility semiconductor 高迁移率半导体
high noise immunity device 高抗扰度掐
high noise immunity logic 高抗扰度逻辑
high ohmic semiconductor 高电阻半导体
high output 高输出
high output implanter 高功率离子注入装置
high pass 高马力
high pass filter 高马力
high performance bipolar process 高指标双极型工艺
high performance circuit 高性能电路
high performance mos 高性能金属氧化物半导体
high power laser 大功率激光器
high power pulse 大功率脉冲
high precision network 高精度网路
high pressure arc discharge 高压电弧放电
high pressure co3 laser 高压二氧化碳激光器
high pressure discharge 高压放电
high pressure gas laser 高压气体激光器
high pressure grower 高压生长装置
high pressure laser 高压激光器
high pressure oxidation 高压氧化
high quality hologram 高质量全息图
high rate deposition 快速淀积
high resistance load 高阻负载
high resistance voltmeter 高阻伏特计
high resistivity region 高电阻率区
high resistivity silicon 高电阻率硅
high resolution 高分辨率
high resolution detector 高分辨探测器
high resolution emulsion 高清晰度乳胶
high resolution image replication 高清晰度图象复制
high resolution imaging 高清晰度成像
high resolution lithography 高分辨率光刻
high resolution registration 高分辨率对准
high resolution screening 高清晰度丝网漏印
high scale integration 大规模集成化
high specification encapsulation 高质量封装
high speed circuit 高速电路
high speed detector 快速探测器高速检测器
high speed element 高速元件
high speed holography 高速全息照相术
high speed ic resist 高灵敏抗蚀剂
high speed ic series 高速集成电路系列
high speed ic technology 高速集成电路工艺
high speed il 高速集成注入逻辑
high speed integrated circuit 高速集成电路
high speed logic 高速逻辑
high speed lsi 高速大规模集成电路
high speed operation 高速工作
high speed performance 高速性能
high speed plotter 高速绘图仪
high speed process 高速集成电路工艺
high speed response 高速响应
high technology integrated circuit 高技术集成电路
high temperature annealing 高温退火
high temperature chemical vapor deposition 高温化学汽相淀积
high temperature epitaxy 高温外延
high temperature insulation 高温绝缘
high temperature processing 高温处理
high threshold device 高阈值掐
high threshold logic 高阈值逻辑
high threshold mos 高阈值金属氧化物半导体
high throughput system 高生产能力系统
high vacuum 高真空
high vacuum chamber 高真空室
high vacuum degassing 高真空脱气
high vacuum evaporation 高真空蒸发
high vacuum flange 高真空法兰盘
high vacuum grease 高真空脂膏
high vacuum technology equipment 高真空工艺设备
high vacuum tube 高真空电子管
high vacuum valve 高真空电子管
high voltage accelerator 高压加速器
high voltage integrated circuit 高压集成电路
high voltage kenotron 高压整窿极管
high voltage line 高压线路
high voltage most 高压金属氧化物半导体晶体管
high voltage stability 高压稳定性
high voltage thin film transistor 高压薄膜晶体管
high voltage transistor 高压晶体管
high voltage transmission electron microscope 高压透射电子显微镜
high yield ic production 高成品率集成电路生产
highly excited level 强激励能级
highly packed chip 高密度芯片
hipox 高压氧化
hissing 啸声
hj 异质结
hjbt 异质结双极型晶体管
hldlc 高级数据链路控制
hll 高电平逻辑电路
hlt 停止
hmos 高性能金属氧化物半导体
hnil 高抗扰度逻辑
hold circuit 保持电路
hold control 同步蝶
hold in range 同步保持范围
holder 支持器
holding circuit 保持电路
holding control 同步蝶
holding current 保持电流
hole 空穴
hole capture 空穴捕获
hole conduction 空穴导电
hole current 空穴电流
hole density 空穴密度
hole diffusion length 空穴扩散长度
hole drift 空穴漂移
hole electron pair 电子 空穴对
hole electron recombination 电子 空穴复合
hole injection 空穴注入
hole life 空穴寿命
hole mobility 空穴迁移率
hole quasi fermi level 空穴准费米能级
hole semiconductor 空穴半导体
hole trap 空穴陷阱
hole trapping 空穴捕获
hollow 空心的
hollow anode 空心阳极
hollow cathode 空心阴极
hollow cathode discharge tube 空心阴极放电管
hollow cathode laser 空心阴极激光器
hologram 全息照相
hologram area 全息照相面
hologram field 全息照相场
hologram information capacity 全息图信息容量
hologram resolution 全息图分辨率
hologram storage 全息图储存
hologram synthesis 全息图合成
hologram thickness 全息图厚度
holographic apparatus 全息装置
holographic cassette television 全息照相盒式电视机
holographic deta 全息照相数据
holographic format 全息形式
holographic information 全息信息
holographic memory 全息照相存储器
holographic motion pictures 全息电影
holographic record 全息记录
holographic storage 全息照相存储器
holographic technique 全息技术
holographic television 全息电视
holography 全息照相术
homing 自动导引
homing device 归航设备
homing phase 自动寻的阶段
homing receiver 自动寻的接收机
homocharge 同号电荷
homodyne reception 零差接收
homoepitaxy 同质外延
homogeneity 同质性
homogeneous light 单色光
homojunction 同质结
hood 遮光罩
hook switch 挂钩开关
hop 跳迁
horizontal aerial 水平天线
horizontal amplifier 水平扫描信号放大器
horizontal amplitude 水平幅度
horizontal antenna 水平天线
horizontal barrel distortion 水平桶形失真
horizontal blanking 水平消隐
horizontal blanking pulse 水平消隐脉冲
horizontal convergence 水平会聚
horizontal definition 水平清晰度
horizontal deflection 水平偏转
horizontal deflection osillator 水平偏转振荡器
horizontal deflection unit 水平偏转单元
horizontal dynamic focusing 水平动态聚焦
horizontal flyback 水平回描
horizontal frequency 横扫频率
horizontal frequency divider 行频分频器
horizontal output stage 水平扫描输出级
horizontal parity 横向奇偶性
horizontal polarization 水平极化
horizontal reactor 卧式反应器
horizontal resolution 木平分解力
horizontal retrace 水平回描
horizontal sweep 水平扫描
horizontal synchronization 行同步
horizontally polarized wave 水平极化波
horn 喇叭
horn loudspeaker 喇叭形扬声器
host lattice 支格
hot atom 热原子
hot carrier 热载劣
hot carrier diode 热载劣二极管
hot cathode 热阴极
hot cathode lamp 热阴极管
hot cathode tube 热阴极管
hot electron 热电子
hot electron emission 热电子发射
hot electron injection 热电子注入
hot electron transistor 热电子晶体管
hot forming 热成形
hot gas bonder 热气喷射钎焊装置
hot line 热线
hot loop 热线
hot plasma 热等离子体
hot spot 热点
housing 外壳
howling 振呜
hp 高马力
hpf 高马力
hsic 高速集成电路
hsl 高速逻辑
htl 高阈值逻辑
hub 集线器集中器
hue 色调色彩
hue control 色地制
hum 咛声
hum modulation 噪声灯
humid hydrogen atmosphere 湿氢气氛
humidity 湿度
humidity cabinet 湿度箱
humidity chamber 湿度箱
humidity meter 湿度计
humidity test 湿度试验
hunting 寄生振荡
hvic 高压集成电路
hvtem 高压透射电子显微镜
hvtft 高压薄膜晶体管
hybrid amplifier 混合放大器
hybrid approach 混合工艺
hybrid chip 混合集成电路芯片
hybrid circuit board 混合集成电路板
hybrid component 混合集成电路元件
hybrid design 混合集成电路设计
hybrid diode transistor logic 混合二极管 晶体管逻辑
hybrid electromagnetic wave 混合电磁波
hybrid filter 混合滤波器
hybrid integrated circuit 混合集成电路
hybrid junction 混合连接
hybrid matrix 混合矩阵
hybrid microassembly 混合集成电路微组装
hybrid microcircuit 混合微型电路
hybrid microelectronics 混合微电子学
hybrid network 混合网络
hybrid packaging 混合集成电路封装
hybrid parameter 混合参量
hybrid photomultiplier 混合光电倍增器
hybrid resistor 混合电路电阻器
hybrid screen 混合集成电路丝网漏印板
hybrid t t 形波导
hybrid technique 混合工艺
hybrid television receiver 混合式电视接收机
hybrid wave 混合型波
hybridization 混合化
hydrogen 氢
hydrogen annealing 氢气退火
hydrogen atom sensor 氢原子传感器
hydrogen reduction 氢还原
hydrogen spectrum 氢光谱
hydrogen thyratron 氢闸淋
hydrophobic silica 疏水性二氧化硅
hydrothermal epitaxy 水热外延
hygrometer 湿度计
hyperabrupt junction 超突变结
hyperabrupt junction varactor 超突变结变容二极管
hyperabrupt profile 超突变剖面图
hyperfine line 超精细结构线
hyperfine splitting 超精细分裂
hyperfine structure 超精细结构
hyperfine transition 超精细跃迁
hyperpure germanium 超纯锗
hysteresimeter 滞后计
hysteresimetry 滞后测定法
hysteresis 滞后现象
hysteresis loop 磁滞回线i type semiconductor 本针导体
iatron 投影电位示波器
ibl 离子束刻蚀法
ibt 离子注入基极晶体管
ic 集成电路
ic amplifier 集成电路放大器
ic array 集成电路阵列
ic artwork generation 集成电路原图生成
ic benchmark 集成电路参考标准
ic breadboarding 集成电路模拟板试验
ic capacitor 集成电路电容器
ic chip 集成电路芯片
ic design 集成电路设计
ic development 集成电路试制
ic element 集成电路元件
ic family 集成电路系列
ic isolation technique 集成电路隔离技术
ic lead socket 集成电路插座
ic memory 集成电路存储器
ic mockup 集成电路实体模型
ic module 集成电路组件
ic process technology 集成电路工艺学
ic processing 集成电路加工过程
ic processor 集成电路微处理机
iconoscope 光电摄像管
ideal black body 绝对黑体
ideal bunching 理想聚束
ideal diode equation 理想二极管方程
ideal instantaneous orbit 理想瞬时轨道
identification 识别
identification beacon 识别信标
identification friend or foe 敌我识别
idotron 光电管检验仪
if 中频
iffraction grating 衍射光栅
igfet 绝缘栅场效应晶体管
igmos 绝缘栅金属氧化物半导体
igniter 点火器
ignition 点火
ignition anode 点火极
ignitron 点火管
ii vi compound semiconductor 旋化合物半导体
ii vi crystal 族化合物晶体
il 集成注入逻辑
il circuit il电路
il gate il门
il memory il存储器
il slice microprocessor il位片微处理机
image 像
image acuity 图像清晰度
image array 图像阵列
image blurring 图像模糊
image brightness 图像亮度
image carrier 图像载波
image channel 图像通道
image contrast 图像对比度
image converter 图像光电变换器变像管
image converter camera 图像变换摄像机
image converter tube 图像光电变换器变像管
image detector 成像器
image diagonal 图像对角线
image dissector 析像管
image dissector tube 析像管
image drift 图像漂移
image element 像素
image enhancement 图像增强
image error 图像失真
image field 像场
image format 图像形式
image frequency 像频
image iconoscope 移像光电摄像管
image identification 图像识别
image impedance 对像阻抗
image intensifier 图像亮度放大管
image intensifier tube 图像亮度放大管
image line 图像扫描线
image lock 图像同步
image multiplication 图像放大
image orthicon 超正析像管
image penumbra 图像模糊
image pickup device 摄像器
image pickup tube 摄像管
image processing 图像处理
image projection system 图像投影系统
image quality 图像品质
image ratio 镜频波道的相对增益
image repeator 图像重复器
image replication 图像重复
image resolution 图像清晰度
image response 镜道响应
image scanner 图像扫描器
image sensing 图像检测
image sensor 图像传感器
image sharpness 图像清晰度
image signal 图像信号
image signal amplifier 图像信号放大器
image signal generator 图像信号发生器
image size 图像尺寸
image storage 图像存储
image storing tube 图像存储管
image sweep frequency 图像扫描频率
image synthesis 图像合成
image transfer 图像转移
image transmission 视频传输
image transmitter 图像发射机
image vidicon 超光电摄像管
imager 成像器
imaging 图像形成
imaging array 成像阵列
imaging precision 成像精度
imaging process 成像工艺
immersion development 浸液显影
immersion vapor degreaser 浸液 蒸汽脱脂器
imos 离子注入金属氧化物半导体
imos device 离子注入金属氧化物半导体掐
impact 冲击碰撞
impact avalanche and transit time diode 碰撞雪崩渡越时间二极管
impact exciation 冲护励
impact excitation 碰撞激发
impact extruded package 冲拔式外壳
impact ionization 碰撞电离
impact molding 冲压法
impatt diode 碰撞雪崩渡越时间二极管
impatt oscillator 碰撞雪崩渡越时间二极管振荡器
impedance 阻抗
impedance bridge 阻抗电桥
impedance matching 阻抗匹配
impedance matrix 阻抗矩阵
imperfect crystal 不完整晶体
imperfect wafer 有缺陷的晶片
imperfection 不完整性
implant 离子注入
implant isolation technique 离子注入隔离技术
implant masking step 离子注入用掩蔽工序
implantation 离子注入
implantation annealing 离子注入后退火
implantation damage 离子注入损伤
implanted channel 离子注入沟道
implanted dopant 注入杂质
implanted impurity 注入杂质
implanted oxide 离子注入氧化物
implanter 离子注入机
implosion 聚爆
impregnant 浸渍剂
impregnated cathode 浸渍阴极
impregnation 浸渍
improvement threshold 改良限度
impulse counter 脉冲积算表
impulse excitation 冲护励
impulse machine 自动电话拨号盘
impulse meter 脉冲积算表
impulse noise 脉冲噪声
impulse period 脉冲周期
impulse repeater 脉冲转发机
impulse sender 脉冲发送器
impulse sending machine 脉冲发送器
impulse spring 脉动弹簧
impurity 杂质
impurity activation 杂质激活
impurity atom 杂质原子
impurity band 杂质能带
impurity center 杂质中心
impurity conduction 杂质导电
impurity defect 杂质缺陷
impurity density 杂质浓度
impurity diffusion 杂质扩散
impurity distribution 杂质分布
impurity dopant 杂质
impurity dopant incorporation 掺杂
impurity doping 掺杂
impurity gradient 杂质梯度
impurity ionization 杂质电离
impurity level 杂质能级
impurity profile 杂质分布图
impurity segregation 杂质偏析
impurity semiconductor 杂质半导体
impurity type 杂质型
in diffusion 向内扩散
in line assembly 顺序组装
in line ic processor 吝式集成电路加工装置
in line processing 吝式处理
in line production mode 吝式生产方式
in line sputterer 直列式离子溅射装置
inactive region 不活跃区
incandescent cathode 白炽热阴极
incandescent lamp 白炽灯
incident beam 入射束
incident light 入射光
incident wave 入射波
incident wavefront 入射波前
incoherence 不相干性
incoherent scattering 非相干散射
incoming call 呼入
incoming pulse 输入脉冲
incoming trunk 来中继线
independent excitation 单独激励
indeterminacy 不确定性
index of refraction 折射率
indexer 档定位装置
indication 指示
indication error 指示误差
indicator 指示器
indicator tube 党指示器
indirect control 间接控制
indirect echoes 间接回波
indirect synchronization 间接同步
indirect transition 间接跃迁
indirect wave 间接波
indirectly heated cathode 间接加热阴极;芳热式阴极
indium 铟
individual circuit chip 单电路芯片
individual control 个别控制
individual line subscriber 专线用户
indox 钡磁材料
induced channel 感应沟道
induced charge 感应电荷
induced junction 感应结
induced polarization 感应极化
induced radiation 感应辐射
induced transition 受激跃迁
induced voltage 感应电压
induct heating 感应加热
inductance 电感
induction acceleration 感应加速度
induction accelerator 感应加速器
induction furnace 感应炉
induction heating 感应加热
inductive coupling 电感耦合
inductive load 电感性负载
inductive loaded line 电感性负载线路
inductive neutralization 电感中和
inductive output tube 感应输出管
inductive post 电感柱
inductive reactance 感抗
inductive susceptance 电感性电纳
inductive tuning 电感党
inductive window 电感性窗
inductivity 电容率
inductoscope 电感器
industrial integrated circuit 工业用集成电路
industrial laser 工业激光器
industrial microcomputer 工业用微型计算机
industrial television 工业电视
inelastic collision 非弹性碰撞
inert atmosphere 惰性气氛
inert gas 惰性气体
inert gas ambient 惰性气氛
inert gas blanket 惰性气体包层
inert layer 不活泼层
inertial confinement 惯性约束
inertial system 惯性系
infinite source 无限杂质源
information bit 信息位
information channel 信息量
information content 红外发射机
information density 信息密度
information handling 信息处理
information identification 信息识别
information loss 信息长失丧
information network 信息网络
information rate 信息率
information service 情报业务
information storage 信息存储
information system 信息系统
information theory 信息论
information transfer 信息传递
information trunk 查询线
information unit 信息单位
infranics 红外线电子学
infrared beams 红外射线束
infrared cryoelectronics 红外线低温电子学
infrared cure 红外线硬化
infrared detection 红外探测
infrared detector 红外探测器
infrared dryer 红外线干燥器
infrared heating 红外加热
infrared imaging 红外线成像
infrared jamming 红外线干扰
infrared laser 红外线激光器
infrared light 红外光
infrared locator 红外探测器
infrared microscope 红外显微镜
infrared radiation 红外辐射
infrared range 红外线区域
infrared rays 红外线
infrared source 红外线源
infrared spectral range 红外线区域
infrared spectroscopy 红外光谱学
infrared tomography 红外线断层摄影术
infrared transmitter 红外发射机
infrasound 次声
ingot 锭
ingot grinder 晶锭研磨机
inherent loss 固有损耗
inherent reliability 固有可靠性
inhibit pulse 禁止脉冲
inhomogeneity 不均匀性
inhomogeneous magnetic field 不均匀磁场
initial ionization 起始电离
initial state 初态
initiating electron 初始电子
injected electrons 注入的电子
injected holes 注入的空穴
injected laser 注入型激光器
injecting contact 注入接触
injection 注入
injection coupling 注入耦合
injection current 注入电流
injection doping 注入掺杂
injection efficiency 注入系数
injection integrated logic 集成注入逻辑
injection laser 注入型激光器
injection locking 注入锁定
injection molder 注射模型成形机
injection molding press 注射模型成形机
injection optics 注入光学
injection pulse 注入脉冲
injection ratio 注入系数
injection region 注入区
injector 注射器
injector junction 注入结
ink 油墨
ink dot recognition 墨水点识别
ink writer 印字机
inker 印字机
inking 上墨
inland telegram 国内电报
inner bonder 内引线键合器
inner electron 内层电子
inner orbit 内层轨道
inoperable chip 有故障芯片
inorganic liquid laser 无机液体激光器
input 输入
input admittance 输入导纳
input amplifier 输入端放大器
input capacitance 输入电容
input impedance 输入阻抗
input loading factor 扇入端数
input resistance 输入电阻
input resonator 输入谐振器
input signal 输入信号
input stage 输入级
input unit 输入设备
input/output expander 输入输出扩展器
input/output operation 输入输出操作
input/output pads 输入输出焊盘
inputoutput device 输入输出设备
insertion gain 插入增益
insertion loss 插损耗
insoluble photoresist 非溶性光刻胶
inspection equipment 检查仪器
inspection gate 检查台
inspection microscope 检查显微镜
instability 不稳定性
installation 装置
instantaneous frequency 瞬时频率
instantaneous orbit of charged particle 带电粒子的瞬时轨道
instantaneous value 瞬时值
instruction 命令
instruction code 指令码
instruction word 指令语
instrument landing system 盲目降落方式
instrumental error 仪企差
instrumentation recording 模拟记录
insulant 绝缘材料
insulated gate electrode 绝缘栅极
insulated gate field effect transistor 绝缘栅场效应晶体管
insulated substrate integrated circuit 绝缘衬底集成电路
insulating base 绝缘底板
insulating film 绝缘薄膜
insulating layer 绝缘层
insulating substrate 绝缘衬底
insulation 绝缘
insulation isolation 绝缘隔离
insulation oxide 绝缘氧化物
insulation pattern 绝缘区图样
insulator 绝缘体
intact fuse link 未损坏的保险丝
integral action control 积分动棕制
integral action controller 积分型控制器积分控制器
integral control 积分动棕制
integral mode controller 积分型控制器积分控制器
integrated circuit 集成电路
integrated circuit chip 集成电路芯片
integrated circuit component 集成电路元件
integrated circuit design language 集成电路设计语言
integrated circuit family 集成电路系列
integrated circuit interconnection 集成电路互连
integrated circuit layout 集成电路布局图
integrated circuit logic 集成电路逻辑
integrated circuit module 集成电路组件
integrated circuit processing technique 集成电路工艺技术
integrated circuit resistor 集成电路电阻器
integrated communication 综合通信
integrated component 集成元件
integrated digital network 综合数字网络
integrated electronic component 集成元件
integrated electronics 集成电子学
integrated microelectronics 集成微电子学
integrated optical circuit 光集成电路
integrated optical communication 集成光通信
integrated optics 集成光学
integrated optics technique 集成光路技术
integrated optics technology 集成光路工艺学
integrated optoelectronic circuit 光电集成电路
integrated optoelectronics 集成光 电子学
integrated processor 集成处理器
integrated regulator 稳压集成电路
integrated resistor 集成电阻
integrated semiconductor device 集成半导体掐
integrated services digital network 综合业务服务网
integrated telecommunication system 综合通信系统
integrating circuit 积分电路
integration 集成
integration circuit 积分电路
integration density 集成密度
integration level 集成度
integration limit 集成限度
integration of pulses 脉冲的积分
integration time 积分时间
integrator 积分电路
integrity 完全性
integronics 集成电子学
intellectronics 人工智能电子学;智能电子学
intelligibility 可懂度
intelligible crosstalk 可慌串话
intelsat 国际通信卫星
intensity control 亮度第
intensity distribution 强度分布
intensity modulation 亮度灯
inter switchboard line 连结线
interacting particles 相互酌粒子
interaction 相互酌
interaction cross section 交互酌截面
interaction length 交互酌长度
interaction range 交互酌空间
interaction space 交互酌空间
interaction time 相互酌时间
interactive computer aided design 交互式计算机辅助设计
interactive mode 对话方式
interactive placement 交互式布置
interactive router 交互式定线器
interband transition 带间跃迁
interception 截装
interchange 交换
interchangeability 可互换性
intercommunicating system 双工制
intercommunication 双方通信
interconnect pad 互连焊盘
interconnect tape 带有引线架的互连带
interconnection 相互连接
interconnection bonding 引线焊接
interconnection density 互连密度
interconnection diagram 互连图
interconnection equipment 互连装置
interconnection layer 互连层
interconnection layout 互连草图
interconnection level 互连层
interconnection mask 互连掩模
interconnection metal 互连用金属
interconnection network 互连图
interconnection pattern 互连图案
interconnection process 互连工艺
interconnection substrate 互连层衬底
interdevice isolation 掐间隔离
interdiffusion 相互扩散
interdigital emitter 叉指形发射极
interdigitated structure 交叉指型结构
interelectrode capacitance 极间电容
interface 接口;界面
interface layer 交界层
interface level converter 逻辑接口电平变换器
interface loss 界面损失
interface state 界面状态
interfacial layer 间层
interfacial oxide 界面氧化物
interference 干涉
interference area 干扰区域
interference current 干扰电流
interference filter 干扰滤波器
interference fringes 干涉条纹
interference limiter 干扰限制器
interference pattern 干涉图
interference photocathode 干涉光电阴极
interference zone 干扰区
interlaced scanning 隔行扫描
interlacing 隔行扫描
interlayer 间层
interlayer dielectric 层间绝缘
interlayer isolation 层间绝缘
interlayer metallization 层间金属化
interlevel alignment 层间对准
interlevel insulator 层间绝缘体
interlevel oxidation 层间氧化
intermediate 中频
intermediate distributing frame 中间配线架
intermediate film system 中间影片制
intermediate frequency 中频
intermediate frequency amplifier 中频放大器
intermediate frequency stage 中频级
intermediate level 中间能级
intermediate metallization 中间金属化
intermediate office 中间局
intermediate photomask 中间掩模
intermediate repeater 中间中继器
intermetallic compound 金属间化合物
intermetallic semiconductor 金属间半导体
intermodulation 相互灯
intermodulation distortion 互掸真
intermodulation noise 互惦声
internal base resistance 基极内电阻
internal channel 内部沟道
internal circuit 内部电路
internal memory 内存储器
internal modulation 内灯
internal noise 固有噪声
internal photoelectric effect 内部光电效应
internal photoemission 内部光致发射
internal reflection 内反射
international broadcasting 国际无线电广播
international call 国际通话
international circuit 国际电路
international code 国际电码
international exchange 国际电话局
international network 国际网络
international telecommunication 国际电信
international telecommunications satellite 国际通信卫星
international telegraph alphabet 国际电报字母
international telegraph code 国际电报字母
international teletype code 国际电报字母
interphone 内部互通电话
interpoly dielectric 多晶硅层间介质体
interposition trunk 席间中继线
interrogation 询问
interrogator 询问应答机
interrupt 中断
interrupted continuous waves 断续等幅波
intersatellite communication 卫星间通信
interstage coupling 级际耦合
interstage transformer 级间变压器
interstellar maser 宇宙脉泽
interstellar space 宇宙空间
interstice 间隙
interstitial defect 填隙式缺陷
interstitial diffusion 填隙式扩散
interstitial imperfection 填隙式缺陷
interstitial impurity 填隙式杂质
interstitial site 间隙
interstitral atom 填隙原子
intersymbol interference 符号间干扰
intraband transition 带内跃迁
intraconnection 内部互连
intrinsic barrier diode pin二极管
intrinsic body 本针导体衬底
intrinsic carrier 本肇劣
intrinsic concentration 本炸度
intrinsic conduction 本占电
intrinsic gate 本针导体栅极
intrinsic mobility 本炸移率
intrinsic noise 固有噪声
intrinsic photoconductivity 本这电导性
intrinsic properties 本赵质
intrinsic region 本狰
intrinsic semiconductor 本针导体
intrinsic temperature range 本章度范围
intrusion 杂质侵入
inverse amplification factor 栅透系数
inverse beta 晶体管共发射极短路反向电僚大系数
inverse fourier transform 反傅里叶变换
inverse grid current 反栅极电流
inverse grid voltage 反栅极电压
inverse neutral telegraph transmission 逆中性电报传输
inverse photo electric effect 反光电效应
inverse voltage 反向电压
inversed diode 反向二极管
inversion 反相
inversion capacitance 反型层电容
inversion channel 反型沟道
inversion density 反转载劣密度
inversion layer 反型层
inversion spectrum 转换光谱
inversion threshold 反转阈值
inverted amplifier 倒置放大器
inverted image 倒像
inverted rotary converter 反用旋转变龙
inverted speech 频率倒置的语言
inverter 倒相器
invertor 反用变流
ion accelerator 离子加速器
ion beam cleaning 离子束清洗
ion beam coating 离子束涂敷
ion beam damage 离子束损伤
ion beam deposition 离子束淀积
ion beam epitaxy 离子束外延
ion beam etcher 离子束腐蚀机
ion beam etching 离子束腐蚀
ion beam exposure 离子束曝光
ion beam lithography 离子束刻蚀法
ion beam machine 离子束腐蚀机
ion beam nitridation 离子束氮化
ion beam printing 离子束刻蚀法
ion beam sputtering 离子束溅射
ion beam technology 离子束工艺
ion beam treatment 离子束处理
ion bombardment 离子轰击
ion burn 离子烧伤
ion clearing process 离子束清洗
ion damaged area 离子注入损伤区
ion diode 离子二极管
ion emission 离子发射
ion enhanced etching 离子增强腐蚀
ion erosion 离子腐蚀
ion etching 离子蚀刻
ion etching chamber 离子蚀刻室
ion etching station 离子束腐蚀机
ion exchange membrane 离子交换膜
ion exchange resin 离子交换尸
ion exchanger 离子交换器
ion exposure 离子束曝光
ion feedback 离子反馈
ion getter pump 离子吸气泵
ion gun 离子枪
ion implant gettering 离子注入吸除
ion implantation 离子注入
ion implantation annealing 离子注入后退火
ion implantation dosage 离子注入剂量
ion implantation gettering 离子注入吸除
ion implantation mask 离子注入掩膜
ion implantation profile 离子注入分布图
ion implanted base transistor 离子注入基极晶体管
ion implanted fet 离子注入场效应晶体管
ion implanted impurity 离子注入杂质
ion implanted integrated circuit 离子注入集成电路
ion implanted junction 离子注入结
ion implanted layer 离子注入层
ion implanted mos 离子注入金属氧化物半导体
ion implanted mos device 离子注入金属氧化物半导体掐
ion implanter 离子注入机
ion induced electron emission 离子轰唤电子发射
ion noise 离子噪声
ion plating 离子电镀法
ion scattering spectroscopy 离子散射能谱学
ion sheath 离子壳层
ion source 离子源
ion trap 离子捕集器
ionic cleaning 离子轰诲除
ionic conduction 离子导电
ionic heated cathode 离子加热阴极
ionic impurity 离子杂质
ionic laser 离子激光器
ionic semiconductor 离子半导体
ionic soil 离子污染
ionization 电离化
ionization chamber 电离室
ionization cross section 电离截面
ionization gauge 电离真空计
ionization loss 电离损失
ionization potential 电离电位
ionization probability 电离概率
ionization rate 电离速度
ionized atom 电离原子
ionized donor 电离施主
ionized gas 电离气体
ionizing collision 电离碰撞
ionizing radiation 离子辐射
ionosphere 电离圈
ionospheric canal 电离层沟道
ionospheric cross modulation 虐森堡效应
ionospheric disturbance 电离层扰动
ionospheric echo 电离层反射信号
ionospheric layer 电离层
ionospheric region 电离层区
ionospheric storm 电离层暴
ionospheric wave 电离层波
ipos 多孔氧化物隔离
ir annealing 红外线退火
ir detector 红外探测器
iraser 红外线激光器
iron oxide mask 氧化铁掩模
irradiated plasma 辐照等离子体
irradiation 照射
irradiation hardening 辐照硬化
irradiation time 照射时间
irreversible process 不可逆过程
isdn 综合业务服务网
isl masterslice 集成肖特基逻辑母片
island effect 小岛效应
island region 岛区
isochronous cyclotron 等时性回旋加速器
isolated gate 绝缘栅
isolated gate fet 绝缘栅场效应晶体管
isolated gate mos 绝缘栅金属氧化物半导体
isolated island 隔离岛
isolated silicon gate cmos 绝缘硅栅互补金属氧化物半导体
isolated substrate 绝缘衬底
isolated well 隔离阱
isolating amplifier 隔离放大器
isolation 绝缘
isolation barrier 隔离势垒
isolation by porous oxide 多孔氧化物隔离
isolation channel 隔离槽
isolation diffusion 隔离扩散
isolation diode 隔离二极管
isolation dopant 隔离区掺杂剂
isolation groove 隔离槽
isolation junction 隔离结
isolation mask 隔离掩模
isolation moat structure 隔离槽结构
isolation oxide 绝缘氧化物
isolation pattern 隔离区图样
isolation pocket 隔离袋
isolator 绝缘体
isoplanar based integrated circuit 等平面隔离集成电路
isoplanar isolation 等平面隔离
isoplanar process 等平面工艺
isoplanar system 等平面系统
isoplanar technique 等平面工艺
isoplanar transistor 等平面晶体管
isothermal recrystalization 等温再结晶
isotropic antenna 无方向性天线
isotropic etch 蛤同性腐蚀
isotropic etching 蛤同性腐蚀
isotropic radiation 蛤同性辐射
isovalent impurities 等价杂质
iterative impedance 累接阻抗
iterative matching 累接匹配jack 插孔
jacket 外壳
jammer 干扰发射机
jamming 干扰
jamming station 干扰发射机
jamming transmitter 干扰发射机
jccd p n结型电荷耦合掐
jet etching 喷射腐蚀
jet etching technique 喷射式腐蚀工艺
jet scrubbing 喷射冲洗
jgfet 结栅场效应晶体管
jig 装配架
jigger 减幅振荡变压器;可变耦合变压器
jitter 颤动
jj 约瑟夫逊结
job stream 椎流
joining 连接
joint 连接
jointing 连接
josephson barrier 约瑟夫逊结
josephson effect 约瑟夫逊效应
josephson junction 约瑟夫逊结
josephson junction circuit 约瑟夫逊结集成电路
josephson junction logic 约瑟夫逊结逻辑
josephson junction logic gate 约瑟夫逊结逻辑门电路
josephson tunnel logic 约瑟夫逊隧道结逻辑电路
journal 日态
jtl 约瑟夫逊隧道结逻辑电路
judder 不稳定
jump 转移
junction 结
junction area 结面积
junction capacitance 阻挡层电容
junction capacitor 结电容
junction ccd p n结型电荷耦合掐
junction depth 结深度
junction diode 面结型二极管
junction formation 结形成
junction gate fet 结栅场效应晶体管
junction gate static induction transistor 结栅静电感应晶体管
junction isolation 结绝缘
junction laser 结型激光器
junction line 中继线
junction rectifier 结型整流
junction transistor 面结型晶体管
justification 定位
k map 卡诺图
karnaugh map 卡诺图
karnaugh table 卡诺图
keep alive anode 激励阳极
keep alive electrode 保弧电极
kenotron 高压二极整淋;高压整窿极管
kerf 刮线
kerr cell 克尔盒
kerr effect 克尔效应
key 键
keyboard 链盘
keyboard perforator 键盘凿孔机
keyboard transmitter 键盘发报机
keyer 键控器
keying 键控
keying signal 启闭信号
keypunching 打孔
keystone distortion 梯形失真
killer 载劣扼杀剂
kilometric waves 千米波
kinescope 显像管
klystron 速弟
klystron oscillator 速弟振荡器
knob 电钮l aerial l形天线
l antenna l形天线
l cathode l 型阴极
labeling 加标
lacquer 清漆
lacquer disk 蜡克盘
lacquer layer 硝基漆层
ladder filter 梯式滤波器
ladder network 梯形网络
ladder type filter 梯式滤波器
lag time 时延
lamb shift 兰姆移位
lamella formation 薄板形成
lamella thickness 薄板厚度
laminar deposition 层状淀积
laminar flow booth 层痢室
laminar flow ultra clean area 层两超洁净区
laminate 层制品
laminated antenna 迭层天线
lamination 叠片结构
lamp annealing 灯加热退火
lamp base 管底
lamp cap 管底
lamp heating 灯加热
lamp panel 管座
lanac system 兰那克
land 连接盘
land area 接触面积
land station 地面电台
lane 等相位区
language 语言
lap 研磨
lapped face 研磨表面
lapper 研磨机
lapping 缠绕
lapping compound 研磨剂
lapping guide 研磨终止标记
lapping hardness 研磨硬度
large area exposure 大面积曝光
large area pattern 大面积图案
large energy gap 宽禁带
large scale array 大规模阵列
large scale hybrid integrated circuit 大规模混合集成电路
large scale hybrid integration 大规模混合集成电路
large scale integrated circuit 大规模集成电路
large scale integration 大规模集成化
large scale integration circuit 大规模集成电路
large scale integration hybrid 大规模混合集成电路
large scale integration level 大规模集成度
large scale integration microprocessor 大规模集成微处理机
large scale intergration 大规模集成化
large screen projection 大屏幕投影
large signal parameters 大信号参数
lasecon 激光转换器
laser 激光器
laser accelerator 激光加速器
laser active medium 激光活性媒质
laser aerosol counter 激光悬浮微粒计数器
laser alignment 激光第
laser altimeter 激光测高计
laser amplification 激光放大
laser amplification factor 激光婆大系数
laser amplifier 激光放大器
laser annealed silicon on sapphire 激光退火的蓝宝石上硅结构
laser aperture 激光辐射孔径
laser application 激光应用
laser assisted diffusion 激光激励扩散
laser axis 激光轴
laser band 激光辐射带
laser bandwidth 激光辐射带宽
laser beacon 激光信标
laser beam 激光光束
laser beam cutting 激光束切割
laser beam defletion 激光束偏转
laser beam diameter 激光束直径
laser beam divergence 激光束发散
laser beam divider 激光束分离器
laser beam evaporation 激光束蒸发
laser beam focusing 激光束聚焦
laser beam record 激光束记录
laser beam splitting 激光束分离
laser beam steering 激光束控制
laser bonding 激光焊接
laser boring 激光打孔
laser calorimetry 激光量热术
laser cathode 激光契极
laser chemistry 激光化学
laser coherence 激光相干性
laser communication 激光通信
laser construction 激光漆构
laser cut 激光切割
laser cutting machine 激光切割机
laser damage 激光破坏
laser degradation 激光葡化
laser deposition 激光淀积
laser detection 激光探测
laser diagnostics 激光诊断
laser dicing 激光切片
laser diode 激光二极管
laser diodes matrix 激光二极管矩阵
laser direct imaging 激光直接成象
laser display 激光显示器
laser doping 激光掺杂
laser doppler velocimeter 激光多普勒速度计
laser drilling 激光束钻孔
laser drilling machine 激光束钻孔机
laser echo 激光回波
laser effect 激光效应
laser efficiency 激光僻率
laser electric phonograph 激光电唱机
laser electrophotography 激光静电复印
laser endoscope 激光内视镜
laser engine 激光发动机
laser enhanced plating 激光诱发淀积
laser exposure 激光照射
laser flip flop 激光触发器
laser for thermonuclear fusion 热核聚变用激光
laser frequency stability 激光频率稳定度
laser frequency stabilization 激光稳频
laser fusion 激光核聚变
laser gain 激光增益
laser gettering 激光吸除
laser gravimeter 激光重差计
laser guidance 激光制导
laser gun 激光枪
laser gyrocompass 激光回转罗盘
laser gyroscope 激光陀螺仪
laser harmonic 激光谐波
laser head 激光头
laser heated electroplating 激光加热电镀
laser heated plasma 激光加热等离子体
laser heating 激光感应加热
laser induced defect 激光诱发缺陷
laser induced deposition 激光诱发淀积
laser induced heating 激光感应加热
laser induced ionization 激光感应电离
laser induced transition 激光感应跃迁
laser instability 激光苹稳定性
laser interaction 激光相互酌
laser interferometric alignment 激光干涉对准
laser ionization 激光电离
laser irradiation 激光辐照
laser isotope separation 激光同位素分离
laser jammer 激光干扰机
laser jamming 激光干扰
laser jamming transmitter 激光干扰机
laser level 激光能级
laser life 激光瀑命
laser line broadening 激光谱线展宽
laser linewidth 激光谱线宽度
laser link 激光通信线路
laser lithography 激光蚀刻
laser locator 激光定位器
laser logic 激光逻辑电路
laser machinery 激光设备
laser material 激光材料
laser memory 激光存储器
laser microscope 激光显微镜
laser mode 激光模式
laser modulation device 激光灯器
laser noise 激光噪声
laser optics 激光光学
laser output power 激光输出功率
laser output power stabilization 激光输出功率稳定化
laser penetration 激光穿透
laser photochemistry 激光光化学
laser plasma 激光等离子体
laser polarization 激光辐射极化
laser power 激光功率
laser power density 激光功率密度
laser preamplifier 激光前置放大器
laser printer 激光印刷机
laser probe 激光探针
laser probing 激光探测
laser processed silicon on insulator 激光处理的绝缘体上硅结构
laser processor 激光处理机
laser pulse energy 激光脉冲能
laser pulse width 激光脉冲宽
laser pumping 激光激励
laser pumping system 激光激励系统
laser radiation 激光辐射线
laser radiation divergence 激光射线发散
laser radiation energy 激光辐射能
laser radiation frequency 激光辐射频率
laser radiation linewidth 激光辐射线宽
laser radiation power 激光辐射功率
laser radiation wavelength 激光辐射波长
laser range finder 激光测距仪
laser ranging device 激光测距仪
laser receiver 激光辐射接受器
laser recorder 激光记录仪
laser recrystallized silicon on insulator 激光再结晶的绝缘体上硅结构
laser reflector 激光反射器
laser relay 激光转播机
laser reliability 激光粕靠性
laser resonator 激光谐振器
laser rod 激光棒
laser rounding 激光圆形加工
laser scanned television 激光扫描式电视
laser scanning microscope 激光扫描显微镜
laser scriber 激光划片器
laser scribing 激光划片
laser scribing apparatus 激光划片机
laser scribing means 激光划片机
laser spectroscopy 激光光谱学
laser spot welding 激光点焊
laser stability 激光迫定性
laser surface interaction 激光 表面相互酌
laser surgery 激光外科手术
laser technique 激光技术
laser technology 激光工艺
laser television 激光电视
laser tracking 激光跟踪
laser tracking system 激光跟踪系统
laser transition 激光跃迁
laser transition frequency 激光跃迁频率
laser transmitter 激光发射机
laser trigger 激光触发器
laser trimmed resistor 激光微的电阻
laser trimmer 激光蝶器
laser trimming 激光微调
laser trimming apparatus 激光微调
laser trimming technique 激光微导术
laser wafer trim 激光薄片微调
laser wave 激光波
laser welding machine 激光焊机
laser window 激光瓢
laser xerography 激光干印法
laser zapper 激光蝶器
lasing 产生激光
lasing threshold 激光射阈
lasos 激光退火的蓝宝石上硅结构
latch 销存器
lateral deflection 横向偏转
lateral diffusion 横向扩散
lateral dimensions 横向尺寸
lateral doping profile 横向杂质分布图
lateral encroaching 横向腐蚀
lateral etching 横向腐蚀
lateral fet 横向场效应晶体管
lateral geometry 横向几何结构
lateral isolation 横向绝缘
lateral lobe 侧叶
lateral oxidation 横向氧化
lateral packing density 横向填密密度
lateral photoeffect photodetector 侧向光效应光电探测器
lateral photovoltaic effect 横向光生伏打效应
lateral placement 杂质的横向布置
lateral pulling 横向拉制
lateral recording 横向录音
lateral registration 横向重合
lateral spreading 横向扩展
lateral structure 横向结构
lateral tracking angle error 横向循迹误差角
lateral transistor 横向晶体管
lateral transistor structure 横向晶体管结构
lattice 棚
lattice constant 晶格常数
lattice defect 晶格缺陷
lattice disorder 点阵无序
lattice distortion 点阵畸变
lattice imperfection 晶格缺陷
lattice irregularity 点阵不完整
lattice matching 点阵匹配
lattice parameter 晶格常数
lattice perfection 晶格完整
lattice pitch 晶格间距
lattice site 晶格结点
lattice structure 晶格结构
lattice vacancy 晶格空位
lattice vibration quantum 晶格振动量子
lattice vibrations 点阵振动
launch 激励
launching 激励
lawrence tube 彩色显象管
layer 层
layer growth 层生长
layer thickness 层厚度
layered dielectric 层状电介质
layered mask 多层掩膜
layered medium 分层介质
layered structure 层次结构
layout 草图
layout automation 布图自动化
layout chart 布局图
layout data 布置数据
layout design 布图设计
layout drawing 布置图
layout generation 布置图生成
layout rule 布图设计规则
layouter 坐标制图器
lcc 无引线芯片座
lcd 液晶显示器
leaching 浸取
lead 引线;铅
lead bonding 引线焊接
lead finish 引线精加工
lead format 引线形状
lead former 引线成形机
lead forming 引线成形
lead forming machine 引线成形机
lead frame 引线框
lead frame assembly system 引线框装配系统
lead frame attachment 引线框固定
lead frame pin 引线框脚
lead identification 引线标志
lead in groove 录声盘首纹
lead in wire 引入线
lead inductance 引线电感
lead out groove 录声盘尾纹
lead pattern 引线图案
lead pin pitch 管脚间距
lead receptacle 引线插口
lead spacing 引线间距
lead wire 引线
leaded chip carrier 有引线芯片座
leading out terminal 引出端子
leadless chip carrier 无引线芯片座
leadless hermetic package 无引线密封外壳
leadless package 无引线外壳
leak 泄漏
leak detector 测漏器
leak test 漏泄试验
leak test plant 检漏设备
leakage 泄漏
leakage current 漏泄电流
leakage flow 漏泄
leakage radiation 泄漏辐射
leakage test 密封试验
leaktight encapsulation 气密封装
leaky lightguide 漏泄光波导
leaky package 有漏洞的外壳
lec 液封直拉法
lecher line 勒谢尔线
led 发光二极管
led display 发光二极管显示器
leed 低能电子衍射
lenard rays 勒纳德射线
length standard 长度标准
lens 透镜
lens antenna 透镜天线
lens aperture 透镜孔径
level 电平
level adjustment 电平第
level density 能级密度
level lifetime 能级寿命
level meter 电平指示仪
level monitoring 电平第
level of integration 集成度
level population 能级布居
level scheme 能级图
level shift 电平移动
level shifter 电平移动二极管
level shifting 电平移动
level spacing 能级间隔
level splitting 能级分裂
level structure 能级结构
level to level alignment 层间对准
leveler 校平器
lexicographic 接字母顺序的
lic 线性集成电路
lid seal preform 盖封用塑扭塑
lid sealing epoxy 盖封用环氧尸
lidar 光雷达
lidar echo 光雷达反射信号
lidar impulse 光雷达脉冲
lidar tracking 光雷达跟踪
life aging 寿命试验
life expectancy 预期寿命
life span 寿命
life test 寿命试验
life time 寿命
lifetime killer doping 寿命扼杀剂的掺入
light 光
light absorption 光吸收
light activated switch 光敏开关
light activation 光激化
light amplification 光放大
light amplification by stimulated emission of radiation 激光器
light amplifier 光放大器
light beam focusing 光束聚焦
light beam scanning 光束扫描
light blocking layer 挡光层
light deflection 光偏转
light dependent resistor 光敏电阻器
light detection and ranging 光雷达
light doping 轻微掺杂
light emitting diode 发光二极管
light emitting diode matrix 发光二极管阵列
light emitting semiconductor device 半导体发光掐
light focusing 光聚焦
light frequency modulator 光频灯器
light gyroscope 光陀螺仪
light intensity 光强度
light intensity modulator 光强度灯器
light modulation 光灯
light modulator 光灯器
light optical exposure 光学曝光
light parametric amplifier 光参量放大器
light pen 光笔
light phase modulator 光掂器
light polarization modulator 光偏振灯器
light pressure 光压
light propagation 光传播
light propagation path 光传播通路
light quantum 光量子
light reflectance 光反射系数
light resistance 光电阻;耐光性
light sensitive emulsion 光敏乳胶
light sensor 光敏元件
light transmission 光透射率
light transmitting photomask 光透射掩膜
light triggered thyristor 光触发闸淋
light valve 光阀
lightguide 光波导
lightguide core 光波导心线
lightguide cross section 光波导横截面
lightguide damping 光波导衰减
lightguide fabrication 光波导制造
lightguide joint 光波导管连接
lightguide transmission 光波导传输
lightguide wall 光波导壁
lighthouse tube 盘封管
lightly doped drain 轻掺杂漏极
lightning protection 避雷
lightning protector 避雷器
limit of detection 检测极限
limited space charge accumulation 限制空间电荷聚积
limited space charge accumulation diode 有限空间电荷积累二极管
limiter 限幅器
limiting of frequency band 频带限制
limiting resolution 极限分解力
limiting stage 限幅级
line 行
line addressable ram 行访问随机存储器
line amplifier 线路放大
line broadening 谱线增宽
line by line scan 逐行扫描
line center 谱线中心
line driver 线路澈励器线路驱动器
line edge blur 导线边缘模糊
line equipment 线路设备
line finder 寻线机
line flyback 水平回描
line focus 直线性焦点
line frequency 行频
line group 通道纽
line intensity 谱线强度
line level 线路电平
line noise 线路噪声
line of position 位置线
line pattern 线条图形
line period 行频周期
line relay 呼叫继电器
line repeater 线路增音机
line scanning 行扫描
line selector 线路选择器
line simulator 仿置线
line spreading 谱线增宽
line switching 通道转换
line synchronization 行同步
line synchronizing pulse 行同步脉冲
line synchronizing signal 行同步脉冲
line transformer 线路变压器
line unit 线路装置
line widening 谱线增宽
line wire 线路导线
linear accelerator 线性加速器
linear amplification 线性放大
linear amplifier 线性放大器
linear array 线性阵列
linear beam tube 直线电子束管
linear chip 线性集成电路
linear circuitry 线性电路
linear detection 线性检波
linear detector 线性检波器
linear distortion 线性畸变
linear electron accelerator 直线电子加速器
linear expansion 线性膨胀
linear frequency modulation 线性档
linear growth rate 线性生长速率
linear induction accelerator 线性感应加速器
linear integrated circuit 线性集成电路
linear integration 线性集成电路
linear modulation 线性灯
linear modulator 线性灯器
linear passive network 线性无源网络
linear performance 线性特性
linear polarized wave 直线极化波
linear response 线性响应
linear technology 线性电路技术
linearity 线性
linearity control 线性控制
linearization 线性化
linearly polarized light 线偏振光
linewidth 谱线宽度
linewidth loss 线宽收缩
linewidth resolution 线宽清晰度
linewidth shrinkage 线宽收缩
link 通信信道
link circuit 链路
link encryption 链路加密
link group 中继线群
link set 中继线群
link switching 通道转换
link transmitter 中继发射机
linkage 连接
liquid 液体
liquid chemical processing 液体化学处理
liquid cooling 液体冷却
liquid crystal 液晶
liquid crystal display 液晶显示器
liquid curve 液相曲线
liquid diffusion 液体杂质源扩散
liquid dopant 液体掺杂剂
liquid encapsulation czochralski process 液封直拉法
liquid epitaxy 液相外延
liquid etching technique 液相腐蚀技术
liquid laser 液体激光器
liquid level manometer 液面压力计
liquid metal ion source 液态金属离子源
liquid nitrogen 液态氮
liquid phase crystallization 液相结晶
liquid phase epitaxial growth 液相外延生长
liquid phase epitaxial layer 液相外延生长层
liquid phase epitaxial regrowth 液相外延再生长
liquid phase epitaxy 液相外延
liquid phase reaction 液相反应
liquid photoresist 液体光刻胶
liquid semiconductor 液态半导体
liquidus 液相线
liquidus temperature 液线温度
listener 听众
listening 截装
literal 接字母顺序的
lithium 锂
litho 光刻
lithographic equipment 光刻装置
lithographic imagery 光刻成象
lithographic mask 光刻掩模
lithographic process 光刻
lithographic resolution 光刻清晰度
lithography 光刻
lithography machine 光刻机
lna 低噪声放大器
load 负载
load admittance 负载导纳
load aging 负载老化
load capacitor 负载电容
load chamber 装载室
load characteristic 负载特性曲线
load circuit 负荷电路
load curve 负载曲线
load factor 负载系数
load impedance 负载阻抗
load impedance diagram 负载阻抗图
load line 负载曲线
load rating 额定负载
load resistance 负载电阻
load resistor 负载阻抗
load transistor 负载晶体管
loaded lightguide 加载光波导
loaded resonator 加载共振器
loader 装载机
loading 加负载
loading factor 负荷系数
loading machine 装载机
lobe 波瓣
lobewidth 波瓣宽度
lobos 局部隐埋氧化物隔离
local battery 局部电池
local buried oxide isolation 局部隐埋氧化物隔离
local control 局部控制
local energy density 局部能量密度
local epitaxy 局部外延
local etching 局部腐蚀
local exchange 市内电话局
local loop 地区环路
local network 局部网络
local oscillator 本地振荡器本机振荡器
local oxidation of silicon 硅的局部氧化
local oxidation of silicon on sapphire 蓝宝石上硅局部氧化
local telephone network 市内电话网
local traffic 本市通话
local trunk 局内中继线
localization of a fault 确定障碍点
localized diffusion 定域扩散
localized doping 定域掺杂
localizer 飞机降落用的无线电信标
locator 申波方向探测器定位器
locep 局部外延
lock 闭锁装置
lock in mixer 同步混频器
lock unit 同步装置
lock valve 锁紧阀
locking 同步
locking phase 同步相位
locking range 同步范围
locking signal 同步信号
lockout 闭锁
locos 硅的局部氧化
log 日态
logarithmic amplifier 对数放大器
logic 逻辑
logic array 逻辑阵列
logic array device 逻辑阵列掐
logic cell 逻辑单元
logic chip 逻辑集成电路
logic circuit 逻辑电路
logic complexity 逻辑复杂性
logic design 逻辑设计
logic element 逻辑元件
logic family 逻辑系列
logic flowchart 逻辑撂图
logic gate 逻辑门
logic glue 接口逻辑电路
logic integrated circuit 逻辑集成电路
logic integration 逻辑集成电路
logic level 逻辑电平
logic probe 逻辑探针
logic simulation 逻辑模拟
logic switch 逻辑开关
logic tester 逻辑试验器
logical block 逻辑块
logical function 逻辑功能
logical one 逻辑1
logical one voltage 逻辑1电压
logical operation 逻辑运算
logical zero 逻辑零
logical zero voltage 逻辑零电压
long distance cable 长途电缆
long distance circuit 长途通信电路
long distance communication 长途通信
long distance network 长途网
long haul network 广域网
long lifetime 长寿命
long lifetime laser 长寿命激光器
long lived lasers 长寿命激光器
long pulse laser 长脉冲激光器
long range holography 远程全息术
long range navigation 远程导航
long range radar 远程雷达
long term drift 长期漂移
long term reliability 长期使用的可靠性
long term stability 长期稳定性
long term usage 长期使用
long wavelength threshold 长波长阈值
long wire antenna 长线天线
longevity 寿命
longitudinal magnetization recording 纵向磁化记录
longitudinal oscillations 纵向振荡
longitudinal parity 横向奇偶性
longitudinal pumping 纵向激励
longitudinal slicer 晶锭的纵向切割机
longitudinal stability 纵向稳定性
loop 环
loop gain 环路增益
loop network 环状网络
loop oscillograph 回线示波器
loop transmission 回线传输
loran 远程导航
losos 蓝宝石上硅局部氧化
loss 损失
loss free medium 无损耗介质
loss of information 信息长失丧
loss power 损耗功率
loss probability 损耗概率
loss reduction 损失减少
lossless power divider 无损耗功率分配器
lost call 未接通的呼叫
lot 组
loudness 响度
loudspeaker 扩音器扬声器
loudspeaker directional pattern 扬声平向性图
loudspeaker telephone 带有扬声颇电话机
loudspeaker telephone device 带有扬声颇电话机
low band standard 低带标准
low bandgap material 窄带隙材料
low concentration doping 轻微掺杂
low definition television 低清晰度电视
low density ic 低密度集成电路
low diffuser 低扩散系数杂质
low dosage ion implantation 低剂量离子注入
low electron affinity 低电子亲合力
low end microprocessor 低级微型处理机
low energy electron diffraction 低能电子衍射
low energy gap 窄禁带
low energy ion implantation 低能离子注入
low energy logic 低功耗逻辑电路
low excited state 低激发态
low frequency furnace 低频炉
low gain transistor 低增益晶体管
low imput 低电平输入信号
low level 低电平
low lifetime 短寿命
low loss fiber 低损耗纤维
low loss joint 低损耗接合
low mobility layer 低迁移率层
low mobility semiconductor 低迁移率半导体
low noise amplifier 低噪声放大器
low noise circuit 低噪声电路
low noise hologram 低噪声全息照相
low noise laser 低噪声激光器
low noise photodetector 低噪声光电探测器
low noise receiver 低噪声接收机
low noise tube 低噪声管
low ohmic semiconductor 低电阻半导体
low pass filter 低通滤波器
low power consumption 低功耗
low power dtl 小功率二极管晶体管逻辑
low power schottky ttl 小功率肖特基晶体管晶体管逻辑
low power transistor 小功率晶体管
low power tube 小功率管
low pressure chemical vapor deposition 低压化学汽相淀积
low pressure discharge 低压放电
low pressure epitaxial growth 低压外延生长
low pressure triode method 低压三极管离子溅射方法
low reflection object 低反射物
low resistance semiconductor 低电阻半导体
low resistivity material 低电阻率材料
low resistivity region 低电阻率区
low resolution 低清晰度
low resolution registration 低分辨率对准
low sensitivity 低灵敏度
low speed logic 低速逻辑
low temperature coefficient resistor 低温度系数电阻器
low temperature epitaxy 低温外延
low temperature oxidation 低温氧化
low temperature oxide 低温氧化物
low temperature passivation 低温钝化
low temperature vapor deposition 低温汽相淀积
low threshold laser 低阈值激光器
low threshold mos 低阈值电压金属氧化物半导体
low work function metal 低逸出功金属
low yielding wafer 低成品率薄片
lower laser level 激光下能级
lower level lifetime 下能级寿命
lower side band 下边带
lower sideband 下边带
lowest energy level 最低能级
lowest resonance level 最低共振能级
lpcvd 低压化学汽相淀积
lpdtl 小功率二极管晶体管逻辑
lpe 液相外延
lpsttl 小功率肖特基晶体管晶体管逻辑
lsa 限制空间电荷聚积
lsa diode 有限空间电荷积累二极管
lsa mode 限制空间电荷积累方式
lsi 大规模集成化
lsi chip lsi芯片
lsi circuit 大规模集成电路
lsi level 大规模集成度
lsi linear 线性大规模集成电路
lsi logic lsi逻辑
lsi microprocessor 大规模集成微处理机
lsi modem lsi灯解调
lsi technology lsi工艺
lsic 大规模集成电路
lsl 低速逻辑
lto 低温氧化物
lug 连接盘
lumicon 磷康
luminance 亮度
luminance channel 亮度信号通道
luminance delay 亮度延迟
luminance range 亮度范围
luminance signal 亮度信号
luminescence 发光
luminescent screen 荧光屏
luminopher 发光体
luminous efficiency 发光效率
luminous electron 发光电子
luminous intensity 发光度
luminous sensitivity 光敏度
lumped circuit 集总参数电路
lumped inductance 集中电感
lumped voltage 集总电压
luxembourg effect 卢森堡效应
lwt 激光薄片微调m type backward wave tube m 型返波振荡管
m type tube m 型电子管
m type twt m 型行波管
machine ringing 机械振铃
macroblock 宏模块
macrocell 宏单元
macrocell approach 宏单元技术
macrocell array 宏单元阵列
made to order integrated circuit 定制集成电路
madistor 晶体磁控管
magazine 盒
magnet 磁石
magnet core aerial 磁心天线
magnet core antenna 磁心天线
magnetic amplification 磁放大
magnetic amplifier 磁放大器
magnetic bias 磁偏置
magnetic bubble device 磁泡掐
magnetic bubble domain chip 磁泡芯片
magnetic bubble memory 磁泡存储器
magnetic confinement of plasma 等离子区的磁密封
magnetic convergence 磁会聚
magnetic core 磁心
magnetic deflection 磁偏转
magnetic dipole 磁偶极子
magnetic domaine storage 磁泡存储器
magnetic double refraction 磁场致双折射
magnetic drum 磁鼓
magnetic drum memory 磁鼓存储器
magnetic field 磁场
magnetic field applied lec 施加磁场液封直拉法
magnetic film 磁性薄膜
magnetic flux 磁通量
magnetic focusing 磁聚焦
magnetic head 磁头
magnetic head core 磁头铁心
magnetic head gap 磁头缝隙
magnetic head gap depth 磁头缝隙深度
magnetic head gap length 磁头缝隙长度
magnetic head gap width 磁头缝隙宽度
magnetic intensity 磁场强度
magnetic lens 磁透镜
magnetic lines of force 磁力线
magnetic medium 磁介质
magnetic microphone 电磁传声器
magnetic modulator 磁灯器
magnetic permeability 磁导率
magnetic pumping 磁激励
magnetic recording 磁记录
magnetic rotation of polarized light 极化光的磁致旋转
magnetic semiconductor 磁性半导体
magnetic static wave 静磁波
magnetic storm 磁暴
magnetic susceptibility 磁化率
magnetic tape 磁带
magnetic trap 磁捕集器
magnetics 磁学
magnetizing field 磁化场
magneto bell 交羚铃
magneto ionic wave component 磁离子波分量
magneto optical deflector 磁光偏转器
magneto optical modulator 磁光灯器
magnetoacoustic delay line 磁声延迟线
magnetohydrodynamic laser 磁铃力学激光器
magnetohydrodynamic pumping 磁铃动力抽运
magnetohydrodynamic waves 磁铃波
magnetohydrodynamics 磁性铃动力学
magnetooptic memory 磁光存储器
magnetooptics 磁光学
magnetoresistance 磁阻
magnetoresistive effect 磁阻效应
magnetoresistor 磁阻器
magnetostatic wave device 静磁波掐
magnetostriction oscillator 磁致伸缩振荡器
magnetostriction transducer 磁致伸缩式换能器
magnetostrictive delay line 磁致伸缩延迟线
magnetron 磁控管
magnetron amplifier 磁控管放大器
magnetron ion etcher 磁控管离子腐蚀装置
magnetron oscillator 磁控管振荡器
magnetron sputtering 磁控管溅射
magnetron vacuum gage 磁控管真空计
magnification 放大
magnified image 放大的图象
main exchange 电话总局
main gap 咒隙
main group 趾
main lobe 吱瓣
main oscillator 竹荡器
main signal 峙号
main station 用户助
mains receiver 交劣收机
maintainability 维修性
major lobe 吱瓣
majority carrier 多数载劣
majority current 多数载劣电流
majority emitter 多数载劣发射极
majority gate 多数决定门
majority logic 多数逻辑
malfunction 机能不良
man made noise 人为噪声
manual calling 人工呼叫
manual editing 手动编辑
manual exchange 人工电话局
manual insertion 手动装配
manual ringing 人工呼叫
manual telephone system 人工电话系统
manufacturability 可制造性
manufacturing line 生产线
manufacturing method 制造方法
manufacturing tolerance 制造公差
many layer mirror 多层镜
many valley semiconductor 多谷半导体
maos structure 金属 氧化铝 氧化物 半导体结构
margin of safety 安全裕度
marginal check 边缘检查
marginal sharphness 边缘清晰度
marginal test 边缘检查
marine electronics 海洋电子学
maritime satellite communication 海洋卫星通信
mark 标记
mark space ratio 线圈间隙因数
marker antenna 指点信标天线
marker pip 集成电路外壳的标记点
marking 钻记
marking current 传局电流
maser 脉泽
maser amplification 脉泽放大
maser amplifier 脉泽放大器
maser beam 微波激射束
maser oscillator 脉泽振荡器
maser radiation 脉泽辐射
maser transition 脉泽跃迁
maser transmitter 脉泽发射机
mask 掩模
mask aligner 掩模对准器
mask artwork 掩模原图
mask carrier 掩模载运体
mask cassette 掩模盒
mask copy 掩模复制
mask definition 掩模图案形成
mask degradation 掩模老化
mask distortion 掩模扭曲
mask feature 掩模图形单元
mask holder 掩模架
mask hole 掩模窗
mask inspection tool 掩模检查工具
mask layout 掩模草图
mask level 掩模层次
mask lifetime 掩模寿命
mask lithography 掩模光刻
mask making facilities 掩模制造设备
mask membrane 掩蔽膜
mask opening 掩模窗孔
mask overlay comparator 掩模重迭比较器
mask overlay error 掩模相互重合误差
mask pack 掩模包
mask pattern 掩模图案
mask pattern generator 掩模图案发生器
mask pattern layout 掩模图形布置图
mask programmable array 掩模可编程序阵列
mask programmable chip 掩模可编程序芯片
mask programmable integration 掩模可编程序集成电路
mask programmable memory 掩模可编程序存储器
mask replication 掩模复制
mask replicator 掩模复制器
mask resolution 掩模清晰度
mask scrubber 掩模洗涤器
mask set 掩模组
mask superposition error 掩模相互重合误差
mask tolerance 掩模容许偏差
maskant 掩蔽体
masked diffusion 掩蔽扩散
masked ion implantation 掩蔽离子注入
masking 掩蔽
masking film 掩蔽膜
masking layer 掩蔽层
masking oxide 掩蔽氧化物
masking pattern 掩模图案
masking photoresist 掩蔽光刻胶
masking plate 掩蔽板
maskless ion implantation 无掩模离子注入
maskless pattern generation 无掩模图像生成
mass bonding 群焊
mass soldering 成批焊接
mass spectrometer 质谱仪
mass spectrometer leak detector 质谱检漏仪
mass spectroscopy 质谱仪
master 原图
master chip 志片
master drawing 原图
master group 趾
master layout 掩模总布置图
master mask 母掩模
master monitor 粥视器
master mos approach mos结构母片方法
master oscillator 重振荡器
master picture monitor 旨像监视器
master plate 母掩模
master reticle 掩模原版
master transmitter 症射机
masterslice 母片
masterslice approach 母片方法
masterslice integrated circuit 母片型集成电路
masterslice personalization 母片专用化
mat 微合金晶体管
matched beam 匹配束
matched filter 匹配滤波器
matched junction 匹配连接
matched line 匹配线
matched load 匹配荷载
matched termination 匹配荷载
matched transmission line 匹配传输线
matched waveguide 匹配波导管
matching 匹配
matching attenuator 匹配衰减器
matching diaphragm 匹配膜片
matching reactance 匹配电抗
matching section 匹配段
matching strip 匹配带
matching transformer 匹配变压器
matrix 矩阵
matrix addressed display 矩阵寻址显示器
matrix addressing 矩阵寻址
matrix circuit 矩阵变换电路
matrix display 矩阵显示器
matrix integrated circuit 矩阵型集成电路
matrix large scale integration 矩阵型大规模集成电路
maximum deflection 最大偏转
maximum load 最大负载
maximum range 最大探测距离
maximum usable frequency 最高可用频率
maxwellian distribution 麦克斯韦分布
mbd 磁泡掐
mbe 分子束外延
mbm 磁泡存储器
mbt 金属基极晶体管
mccd 曲折型沟道电荷耦合掐
mcvd 改进的化学汽相淀积
mean energy density 平均能量密度
mean error 平均误差
mean free path 平均自由路程
mean free time 平均自由飞行时间
mean frequency 平均频率
mean life 平均寿命
mean time between failures 平均故障间隔时间
mean time to failure 平均故障间隔时间
meander ccd 曲折型沟道电荷耦合掐
measurement 测量
measurement probe 测量探针
measuring amplifier 测量用放大器
measuring bridge 测量用电桥
measuring device 测量装置
measuring error 测量误差
measuring microphone 测量传声器
mechanical failure 机械故障
mechanical recording 机械记录
mechanical scanning 机械扫掠
mechanism of crystal growth 晶体生长机理
mechanism of nucleation 成核机理
medical laser 医用激光器
medical television 医学用电视
medium 载波
medium complexity 中规模集成度
medium frequency 中频
medium scale integration 中规模集成度
medium waves 中波
megachip 百万级规模集成电路
megascale ic 百万级规模集成电路
megatron 塔形电子管
meissner oscillator 麦斯南振荡器
melt 熔融
meltback 回熔
melting 熔融
membrane 膜
membrane mask 薄膜型掩模
memory 存储器
memory capacity 记忆容量
memory chip 存储凭片
memory controller 存储曝制器
memory density 存储密度
memory device 记亿设备
memory effect 记忆效应
memory management chip 存储栖理集成电路
memory mapping 存储单元布置
memory transistor 存储晶体管
memory unit 存储单元
memoscope 存储管式示波器
mercury arc rectifier 水银整流
mercury diffusion pump 水银扩散泵
mercury vapor 水银蒸汽
merged n p n load 合并 n p n 负载
merged structure 合并结构
merged transistor logic 合并晶体管逻辑
mesa 台面结构
mesa epitaxial approach 台面外延工艺
mesa etching 台面腐蚀
mesa island 台面型岛
mesa recess 台面槽
mesa structure 台面结构
mesa transistor 台面式晶体管
mesa type transistor 台面型晶体管
mesfet 金属半导体场效应晶体管
mesh 电池
mesh emitter 网状发射极
mesh network 网状网络
mesh size 网目尺寸
meshed network 网状网络
meson factory 介子发生器
mesopause 中间层顶
mesosphere 中间层
message 报文
message register 通话计次器
message routing 报文路径选择
message switching 报文交换
messanger call 传呼
metadyne 旋转式磁场放大机
metal alumina oxide semiconductor structure 金属 氧化铝 氧化物 半导体结构
metal base transistor 金属基极晶体管
metal ceramic 金属陶瓷
metal ceramic package 金属陶瓷外壳
metal definition 金属层图像形成
metal detector 金属探测器
metal etch resist 金属腐蚀用光刻胶
metal evaporation 金属蒸镀
metal evaporator 金属蒸发器
metal film 金属薄膜
metal film resistor 金属薄膜电阻器
metal gate electrode 金属栅电极
metal gate technique 金属栅技术
metal gate transistor 金属栅晶体管
metal insulator metal 金属 绝缘体 金属结构
metal insulator semiconductor 金属 绝缘体 半导体
metal insulator semiconductor fet mis场效应晶体管
metal mask 金属掩模
metal nitride oxide semiconductor structure mnos结构
metal nitride semiconductor 金属 氮化物 半导体
metal on glass mask 金属玻璃掩模
metal oxide resistor 金属 氧化物电阻器
metal oxide semiconductor 金属 氧化物 半导体
metal oxide semiconductor field effect transistor 金属 氧化物 半导体场效应晶体管
metal oxide semiconductor silicon on sapphire 蓝宝石上硅型金属氧化物半导体
metal oxide semiconductor transistor load mos负载晶体管
metal photomask 金属光掩模
metal quality block 金属化质量试验装置
metal schottky fet 金属肖特基栅场效应晶体管
metal screen printing 金属丝网漏印刷法
metal self aligned process 金属自对准工艺
metal semiconductor barrier 金属 半导体接触势垒
metal semiconductor device 金属 半导体接触掐
metal semiconductor interface 金属 半导体界面
metal semiconductor junction 金属 半导体结
metal semiconductor metal 金属 半导体 金属结构
metal silicide interconnection 金属 硅化物互连
metal system 金属化系统
metal vapor laser 金属蒸汽激光器
metallic mirror 金属镜
metallic vapor laser 金属蒸汽激光器
metallization 金属化
metallization deposition 金属化淀积
metallization mask 金属化掩模
metallization masking 金属化掩蔽
metallization pattern 金属化图形
metallization routing 金属化路由
metallized screen 金属背荧光屏
metallized semiconductor fet 金属半导体场效应晶体管
metallo organic chemical vapor deposition 有机金属化学汽相淀积
metallo organic vapor phase epitaxy 有机金属汽相外延
metallographic section 金相试片
metallographical microscope 金相显微镜
metallurgy 冶金学
metastable atom 亚稳原子
metastable condition 亚稳状态
metastable level 亚稳能级
metastable state 亚稳状态
metering relay 计数继电器
metre ampere 米 安
mf 中频
mgt 金属栅晶体管
mhd laser 磁铃力学激光器
mic 单片集成电路
microalloy junction 微合金结
microalloy transistor 微合金晶体管
microassembly 微型组件
microcapacitor 微型电容器
microchannel image tube 微通道摄像管
microchannel multiplier 微通道倍增器
microchannel plate 微通道板
microchip 微型芯片
microchip resistor 微型片状电阻器
microcircuit 微型电路
microcircuit element 微型电路元件
microcircuit engineering 微型电路工程学
microcircuit layout design 微型电路布图设计
microcircuitry 微型电路技术
microcleaned surface 显微清洁表面
microcoded microprocessor 微编码微处理机
microcomponent 微型元件
microcomputer 微型电子计算机
microcontroller 微型控制器
microdefinition 缩微成像
microdiscrete device 微型分立掐
microelectronic chemical agent 微电子工业用化学试剂
microelectronic circuit 微型电子电路
microelectronic packaging 微型电子掐封装
microelectronic technology 微电子技术
microelectronics 微电子学
microelement 微型元件
microfabrication 微型品制造
microfilm resistor 微薄膜电阻器
microfunction circuit 微功能电路
micrograph 显微照片
microgravity processing 微重力状态下处理
microimaging 缩微成像
microinterconnection 微型互连
microinterferometer 显微干涉仪
microlayer transistor 微层晶体管
microlithographic patterning 显微光刻图像形成
microlithography 显微光刻法
micromachining 显微机械加工
micromain frame 微型助
micrometer lithography 微米结构光刻
microminiaturization 超小型化
micromodule 微型组件
micron lithography 微米结构光刻
micron scale integrated circuit 微米尺寸集成电路
micron sized geometry 微米尺寸几何形状
microoptoelectronics 微型光电子学
microorganism battery 微生物电池
micropattern 微型图象
micropatterning 缩微成像
microphone 传声器
microphone amplifier 话筒放大器
microphone directivity 传声聘向性
microphone noise 传声齐声
microphonic effect 颤噪效应
microphotolithography 显微光刻术
microplating 微电镀
micropositioner 微动台
micropositioning table 微型定位台
micropower integration 微功率集成电路
micropower transistor 微功率晶体管
microprinted circuit 微型印刷电路
microprinter 微型印刷机
microprobe 微探针
microprocessor 微处理机
microprocessor automated sputterer 微处理曝制的溅射装置
microprocessor chip 微型处理机芯片
microprocessor controlled bonder 微处理曝制的热压焊装置
microprocessor integrated circuit 微型处理机芯片
microprocessor kit 微处理凭片组
microprocessor modem 微处理机灯解调
microprocessor set 微处理凭片组
microprocessor slice 位片微处理机
microprogrammed microprocessor 微编码微处理机
microradiometer 微辐射计
microresistor 微型电阻器
microsection 显微磨片
microshaving 微膜剥去
microstage 微型定位台
microstrip line 微波带状线
microsurface profile 表面微剖面图
microtrace 微量轨迹
microtron 电子回旋加速器
microtronics 微电子学
microvoltmeter 微伏计
microwatt logic 微瓦逻辑电路
microwave absorption 微波吸收剂
microwave antenna 微波天线
microwave baking 微波热处理
microwave discharge 微波放电
microwave discriminator 微波鉴频器
microwave eye 微波眼
microwave ferrite 微波铁氧体
microwave gyrator 微波回转器
microwave holography 微波全息照相术
microwave integrated circuit 微波集成电路
microwave laminate 微波迭层板
microwave logic 微波逻辑电路
microwave oscillator 微波发生器
microwave plasma etching 微波等离子体腐蚀
microwave power measurement 微波功率测量
microwave radiometry 微波辐射测量
microwave receiver 微波接收机
microwave region 微波波段
microwave semiconductor 微波半导体掐
microwave spectrometer 微波频谱仪
microwave television receiver 微波电视接收机
microwave tube 微波管
mid frequency 中频
mid range microprocessor 中挡微型机
middle frequency 中频
middle marker 中点指标
midget set 小型接收机
mig 多层互连信号发生器
migration 移位
mild etching 轻腐蚀
milic 毫米波图像带宽集成电路
miller effect 密勒效应
miller indices 密勒指数
miller integrator 密勒积分器
millimeter wave antenna 毫米波天线
millimeter wave image line integrated circuit 毫米波图像带宽集成电路
millimeter wave laser 毫米波激光器
millimeter wave monolithic integrated circuit 毫米波单片集成电路
millioscilloscope 小型示波器
milliteslameter 毫泰斯拉计
miltronics 军用电子学
mim 金属 绝缘体 金属结构
mimic 毫米波单片集成电路
mini laser 小型激光器
miniature valve 小型管
miniaturization 小型化
minimum detectable signal 最小可探测信号
miniprober 极小型探测仪
miniscope 小型示波器
minor carrier 少数载劣
minor exchange 电话支局
minority carrier current 少数载劣电流
minority carrier device 少数载劣掐
mip 多列直插式外壳
mirror 镜
mirror finish 镜面抛光
mirror image 镜像
mis 金属 绝缘体 半导体
mis logic 金属 绝缘体 半导体结构逻辑电路
mis transistor mis晶体管
misalignment 不对准
misfet mis场效应晶体管
misfire 弧误
mismatch 不匹配
mismatch loss 失配损耗
misregistration 不对准
mitron 可淀带磁控管
mix match lithography 混纺拼色光刻
mixed bed demineralizer 混合床脱盐器
mixed process 混合工艺
mixed semiconductor 混合半导体
mixed techmology 混合工艺
mixer 混合器
mixer unit 混合器
mixing tube 混频管
mixing valve 混频管
mixture ratio 混合比
mlb 多层印刷板
mlc 多层陶瓷
mli 多层互连
mlm 多层金属化
mm pulse radar 毫米波脉冲雷达
mnos structure mnos结构
mnos transistor 金属氮化物氧化物半导体晶体管
mns 金属 氮化物 半导体
moat 槽
mobile carrier 可动载劣
mobile laser tracking and ranging system 活动激光追踪与测距系统
mobile radio 移动式无线电通信
mobile transmitter 移动式发射机
mobility enhancement 迁移率增加
mockup 实体模型
mocvd 有机金属化学汽相淀积
mocvd reactor 有机金属化学汽相淀积反应器
modal noise 模式噪声
mode 模式
mode converter 模变换器
mode filter 振荡型滤波器
mode of resonance 谐振摸
modem 灯解调
modem chip 灯解稻片
modified chemical vapor deposition 改进的化学汽相淀积
modular circuit 棋块电路
modular station 标准化装置
modularity 组件方式
modularizaion 模块化
modulated aerial 党的天线
modulated carrier 巳地波
modulated wave 灯波
modulating signal 灯信号
modulation 灯
modulation capability 灯能
modulation characteristic 灯特性
modulation code 灯码
modulation cpapbility 灯能力
modulation element 灯元件
modulation factor 灯系数
modulation frequency 灯频率
modulation index 灯指数
modulation meter 灯度测试器凋制计
modulation method 灯法
modulation noise 灯噪声
modulation percentage 灯度
modulation rate 灯速率
modulation stage 灯级
modulator 灯器
modulator demodulator 灯解调
modulator tube 灯管
module 组件
moire 网纹干扰
moisture barrier 防湿层
moisture content 湿气含量
moisture meter 湿度计
moisture resistance 防潮性
moisture sensor 湿敏元件
mold 铸模
molded assembly 模制组件
molded case 模制管壳
molded package 模制管壳
molder 塑模机
molding 浇铸
molecular beam deposition 分子束淀积
molecular beam epitaxy 分子束外延
molecular electronics 分子电子学
molecular gun 分子枪
molecular impurity 分子杂质
molecular integrated circuit 分子集成电路
molelectronics 分子电子学
mom capacitor 金属 氧化物 金属电容器
monitor 监视器
monitor desk 监听台
monitor receiver 检验收报器
monitoring 监视
monitoring amplifier 监听放大器
monitoring loudspeaker 监听扬声器
monitoring signal 监听信号
monitoring system 监视系统
monoatomic layer 单原子层
monobrid 单片混合组装
monobrid circuit 单片混合电路
monochromatic light 单色光
monochromatic pumping 单色激励
monochromatic radiation 单色辐射
monochrome hologram 单色全息图
monochrome receiver 黑白电视信号接收机
monochrome signal 单色信号
monochrome signal bandwidth 单色信号带宽
monochrome transmission 单色发送
monocord 单塞绳
monocrystal 单晶
monocrystalline reconversion 单晶的再结晶
monolayer 单分子层
monolithic cell 单片单元
monolithic circuit 单片电路
monolithic component 单片元件
monolithic functional device 单片功能掐
monolithic integrated circuit 单片集成电路
monolithic integration 单片集成电路
monolithic memory 单片存储器
monolithic microcircuit 单片微型电路
monolithic microprocessor 单片微处理器
monolithic operation amplifier 单片运算放大器
monolithic oscillator 单片式振荡器
monolithic processor 单片处理机
monolithic resistor 单片电阻器
monolithic sample hold 取样保持单片电路
monolithic structure 单片结构
monomode laser 单模激光器
monomode lightguide 单模光波导
monomolecular film 单分子膜
monomolecular layer 单分子层
monophonic recording 单声道录音
monophony 单声技术
monopulse 单脉冲
monopulse optical receiver 单脉冲式光接收机
monopulse radar 单脉冲雷达
monoscope 单像管
monostable blocking oscillator 单稳间歇振荡器
monostable circuit 单稳态电路
monostable multivibrator 单稳多谐振荡器
monte carlo method 蒙特卡罗法
monte carlo modelling 蒙特卡罗法模拟
morphology of crystals 晶体形态学
morse apparatus 莫尔斯电报机
morse code 莫尔斯符号
morse ink writer 莫尔斯印字机
morse key 莫尔斯电键
morse printer 莫尔斯印字机
mos 金属 氧化物 半导体
mos array integrated circuit mos阵列集成电路
mos capacitor mos电容器
mos insulated gate transistor 绝缘栅金属氧化物半导体晶体管
mos ion implantation mos结构离子注入
mos logic mos逻辑
mos technology mos工艺
mos transistor mos晶体管
mos transistor circuit mos晶体管电路
mos transistor logic mos晶体管逻辑
mos wafer mos结构薄片
mos/sos 蓝宝石上硅型金属氧化物半导体
mosaic 感光嵌镶幕
mosaic array 镶嵌矩阵
mosaic crystal 镶嵌晶体
mosaic photocathode 镶嵌光阴极
mosaic telegraphy 嵌镶幕电报
mosfet 金属 氧化物 半导体场效应晶体管
mosfet gate 金属 氧化物 半导体场效应晶体管门电路
most mos晶体管
mostl mos晶体管逻辑
motion picture holography 全息电影
mount 支架
mountain effect 山地效应
mounting 安装
mounting cable 装配电缆
mounting equipment 组装设备
mounting hole 安装孔
mouthpiece 话期承
moving coil loudspeaker 动圈式扬声器
moving target indication radar 活动目标显示雷达
moving target indicator 活动目标显示器
moviola 音象同步装置
mp 单片处理机
msi 中规模集成度
msi circuit 中规模集成电路
msld 质谱检漏仪
msm 金属 半导体 金属结构
msw 静磁波
msw device 静磁波掐
mtbf 平均故障间隔时间
mtl 合并晶体管逻辑
mttf 平均故障间隔时间
muffler 消声器
multi cavity klystron 多腔蒂管
multi channel 多信道的
multi channel telegraphy 多路电报
multi frequency system 多频制
multiatmosphere furnace 多气氛炉
multiband antenna 多频带天线
multiband loudspeaker 多频带扬声器
multibeam irradiation 多光束辐照
multibeam tube 多束管
multiburst 多波群
multicarrier transmitter 多载波发射机
multicavity magnetron 多腔磁控管
multichamber etcher 多室腐蚀装置
multichannel fet 多通道场效应晶体管
multichannel mixer 多路混合器
multichannel oscillator 多信道振荡器
multichannel recording 多声道录音
multichannel transmission 多路传输
multichannel transmitter 多路发射机
multichip array 多芯片集成电路
multichip assembly 多片组装
multichip assembly technique 多片组装技术
multichip carrier 多片载体
multichip circuit 多芯片集成电路
multichip hybrid 多片混合电路
multichip ic 多芯片集成电路
multichip microprocessor 多片微处理机
multichip module 多片组件
multichip system 多芯片系统
multicolor display 多色显示器
multicolor hologram 多色全息照相
multicolor laser 多色激光器
multicomponent glass 多成分玻璃
multicore cable 多芯电缆
multidimensional modeling 多维模拟
multidimensional simulation 多维模拟
multidrop line 多点线路
multielectrode tube 多极管
multielement photodetector 多元件光电探测器
multiemitter transistor 多发射极晶体管
multifiber cable 多纤维光缆
multifiber lightguide 多纤维光波导
multifrequency code 多频码
multifrequency code signal 多频码信号
multifrequency signal 多频信号
multifrequency transmitter 多频发射机
multifunction microcircuit 多功能微电路
multifunction radar 多功能雷达
multifunctional module 多功能组件
multigap cavity 多隙共振腔
multiheterostructure 多异质结结构
multiheterostructure laser 多异质结结构激光器
multiimage 多重图象
multijunction photocell 多结光电池
multilaser optical radar 多元激光雷达
multilayer board 多层印刷板
multilayer capacitor 多层电容器
multilayer ceramic 多层陶瓷
multilayer chip carrier 多层芯片载体
multilayer circuit 多层电路
multilayer element 多层元件
multilayer film 多层膜
multilayer integrated circuit 多层集成电路
multilayer interconnection 多层互连
multilayer lightguide 多层光波导
multilayer metallization 多层金属化
multilayer mirror 多层镜
multilayer package 多层外壳
multilayer semiconductor device 多层半导体掐
multilayer structure 多层结构
multilayer substrate 多层衬底
multilead chip 多引线芯片
multileaded flat pack 多插脚扁平封装
multilevel circuit 多层集成电路
multilevel insulator 多层绝缘体
multilevel integration 多层集成电路
multilevel interconnection 多层互连
multilevel interconnection generator 多层互连信号发生器
multilevel logic 多值逻辑
multilevel oxide 多层氧化物
multilevel system 多电平系统
multimask processing 多掩模工艺
multimeter 万用表
multimode cavity 多模谐振腔
multimode fiber 多模光纤维
multimode film 多模膜
multimode laser 多模激光器
multimode lightguide 多模光波导
multimode line 多模传输线
multimode resonator 多模谐振腔
multimode waveguide 多模波导管
multipactor 大功率快速微波开关掐
multipactor discharge 电子倍增放电
multipair cable 多对绞电缆
multipath reflection 多路反射
multipattern matrix 多重图像矩阵
multiphoton ionization 多光子电离
multiphoton laser 多光子激光器
multiphoton photoelectric emission 多光子光电发射
multiphoton transition 多光子跃迁
multipin package 多插脚外壳
multiple antenna 多单元天线
multiple backscattering 多次反散射
multiple chip microprocessor 多片微处理机
multiple collision 多次碰撞
multiple connector 多路插头
multiple diffusion 多次扩散
multiple fiber 纤维束
multiple gate finger fet 多梳形栅场效应晶体管
multiple image generation 多重成象
multiple image lens 多重图像透镜
multiple imaging 多重成象
multiple in line package 多列直插式外壳
multiple ionization 多次电离
multiple layer lightguide 多层光波导
multiple light fiber 光学纤维束
multiple modulation 多重灯
multiple pulse laser 多脉冲激光器
multiple reception 多次接收
multiple reflection 多次反射
multiple scanning 多重扫描
multiple signal 多重信号
multiple stage deposition 多段淀积
multiple step and repeat machine 多路步进重复照相机
multiple substrate technique 多片技术
multiple switch 复联开关
multiple switchboard 复式交换机
multiple target 多目标
multiple tuned aerial 复奠线
multiple tuned antenna 复奠线
multiple unit tube 复合管
multiple wire antenna 多线天线
multiplex switch 多路灯器
multiplex system 多路制
multiplex telegraphy 多路电报
multiplex telephony 多路电话
multiplexer 多路灯器
multiplexing 多路传输
multiplication 倍增
multiplication circuit 乘法电路
multiplication ratio 倍增系数
multiplier 倍增器
multiplier phototube 光电倍增器
multiplier tube 倍增管
multiplying tube 电子倍增管
multipoint line 多点线路
multipoint probe 多点探针
multispectral microwave imaging radar 多谱微波成象雷达
multistage photodetector 多级光电探测器
multistage solar cell 多级太阳电池
multistage switching 多级交换
multitrack recording 多声道录音
multivalued logic 多值逻辑
multivariable control theory 多变量控制理论
multivariable nonlinear feedback system 多变量非线性反馈系统
multivariable optimal control techniques 多变量最优控制技术
multivariable output feedback system 多变量输出反馈系统
multivariate feedback 多变量反馈
multivibrator 多谐振荡器
multiwafer plasma oxidizer 多圆片等离子体氧化装置
multiwafer plasma reactor 多圆片等离子体反应器
multiwire 多线连接
musa antenna 复合菱形天线
mush 噪声
music synthesizer 音乐合成器
mutual induction 互感
mutual repulsion 相互推斥channel fet n 沟道场效应晶体管
n channel junction fet n 沟道结型场效应晶体管
n channel mos n 沟道金属氧化物半导体
n dopant source n 型杂质源
n doped drain n 型掺杂的漏极
n doped semiconductor n 型半导体
n doped source n 型掺杂的源极
n epi n 型外延层
n etch rate n 型半导体腐蚀速度
n p junction n p 结
n p n transistor n p n 晶体管
n type conduction n 型导电
n type diffusion 施钟质扩散
n type dopant 施钟质
n type dopant atom n 型掺杂剂原子
n type doping n 型掺杂
n type semiconductor n 型半导体
n type substrate n 型衬底
n well n 阱
n well cmos process n 阱互补金属氧化物半导体工艺
n+ buried collector n+ 隐埋集电极
n+ diffusion n+ 扩散区
n+ guard ring n+ 保护环
n+ poly gate n+ 型多晶硅栅
naa 中子激活分析
nail head bond 钉头式键合
nail head bonding 钉头式键合法
nand circuit 与非电路
nand gate 与非门
nand operation 与非运算
nano electronics 毫微电子学
nanolithography 毫微光刻
nanoprocessor 毫微秒处理机
nanopulser 毫微秒脉冲发生器
narrow angle television camera 窄角电视摄象机
narrow band amplifier 狭频带放大器
narrow band cavity 窄带空腔
narrow band frequency modulation 窄带档
narrow band laser 窄带激光器
narrow band laser shielding spectacles 窄带激光防护眼镜
narrow bandgap semiconductor 窄禁带半导体
narrow beam 窄射束
narrow gap region 窄禁带区
narrow linewidth laser 窄线宽激光器
narrow pulse laser 窄脉冲激光器
native defect 固有缺陷
native silicon dioxide 天然二氧化硅
natual spectral linewidth 固有谱线宽度
natural frequency 固有频率
natural leak 自然泄漏
natural oscillations 固有振荡
natural wavelength 固有波长
navigation 导航
navigation aids 助航设备
navigational computer 导航计算机
navigational satellite 航海卫星
navigational satellite tracking network 导航卫星跟踪网
nd glass laser 钕玻璃激光器
nds 中子掺入硅
ndt 非破坏性试验
nea photocathode 负电子亲合力光电阴极
near contact printer 接近式曝光机
near contact printing 接近式曝光
near earth orbit 近地轨道
near echo 近回波
near end crosstalk 近端串话
near infrared 近红外区
near infrared region 近红外区
near ir laser 近红外线激光器
near monochromatic radiation 近单色辐射
near space 近空
near ultraviolet 近紫外线区
near ultraviolet range 近紫外线区
near uv exposure 近紫外线曝光
near uv resist 近紫外线抗蚀剂
neck 管颈
needle shaped crystallite 针状晶体
needle telegraph 针式电报机
needle valve 针阀
negation gate 非门
negative 阴性的
negative affinity electron emitter 负电子亲合力电子发射极
negative affinity photocathode 负电子亲合力光电阴极
negative amplitude modulation 负极性爹
negative and gate 与非门
negative artwork 负原图
negative bias 负偏压
negative carrier 负电荷载劣
negative characteristic 下降特性
negative charge 负电荷
negative current 负电流
negative electrode 阴极
negative electron affinity 负电子亲合力
negative emulsion 负性胶
negative feedback 负反馈
negative feedback amplifier 负回授放大器
negative frequency modulation 负极性档
negative glow 阴极辉光
negative image 负像
negative impulse 负脉冲
negative ion 阴离子
negative logic 负逻辑
negative mask 负像掩模
negative modulation 负极性灯
negative pattern 负像
negative photoresist 负性胶
negative picture signal 负极性图像信号
negative pole 负极
negative record 负像记录
negative resistance 负电阻
negative resistance characteristic 负阻特性
negative resistance device 负阻掐
negative resistance diode 负阻二极管
negative resistance element 负阻元件
negative resistance oscillator 负阴振荡器
negative temperature coefficient of resistance 电阻的负温度系数
negative temperature coefficient resister 负温度系数电阻
negative transmission 负灯传送
negatron 阴电子
negentropy 负熵
nematic liquid crystal 向列液晶
neodymium doped yttrium aluminium garnet laser 掺钕钇铝石榴石激光器
neodymium glass laser 钕玻璃激光器
neon 氖
neon indicator 氖管指示器
net gain 总增盒
net loss 净损重
network 网络
network analysis 网络分析
network analyzer 网络分析器
network optimization 网络最佳化
network synthesis 网络合成
neutral 中性的
neutral atom 中性原子
neutral atom laser 中性原子激光器
neutral conductor 中线
neutral point 中性点
neutral relay 无极继电器
neutral resistance 中性电阻
neutral terminal 中性线端
neutral trapping center 中性俘获中心
neutral zone 中性区
neutralizing capacitor 中和电容器
neutrodyne 有中和的高频党放大器
neutron activation analysis 中子激活分析
neutron doped semiconductor 中子掺入半导体
neutron doped silicon 中子掺入硅
neutron doping 中子掺入
neutron irradiation 中子照射
neutron pumping 中子激励
neutron yield 中子产额
neutrosphere 中性圈
nfet n 沟道场效应晶体管
nico 镍钴合金
nife 镍铁合金
night airglow 夜天光
night effect 夜间效应
nitridation 氮化
nitride gate 氮化硅栅
nitride masking 氮化硅掩蔽
nitride oxide reactor 氮化物 氧化物反应器
nitride oxide structure 氮化物 氧化物结构
nitride passivation 氮化硅钝化
nitride process 氮化硅工艺
nitrogen 氮
nitrogen dioxide 二氧化氮
nitrogen dusting 氮气吹尘
nitrogen purging 氮气吹尘
nitrogen purifier 氮气提纯器
nitrogenous hood 氮箱
nitrox 氮化物 氧化物结构
nitrox reactor 氮化物 氧化物反应器
nmos n 沟道金属氧化物半导体
nmos technology nmos 工艺
nmos transistor nmos 晶体管
no failure operation 无故障工作
no load 无载的
no load characteristic 无载特性
no load current 无载电流
no load losses 无载损耗
no load test 无载式验
no load voltage 无载电压
no voltage relay 无压继电器
noble gas 惰性气体
noble gas ion laser 惰性气体离子激光器
noble metal cermet 贵金属陶瓷
noble metal paste 贵金属膏
nocturnal radiation 夜间辐射
node 节点
noise 干扰
noise analyzer 噪声分析器
noise background 背景噪声
noise current 噪声电流
noise cutting off 噪声截止
noise equivalent power 噪声等效功率
noise factor 噪声因数
noise factor meter 噪声系数测量计
noise figure 噪声指数
noise filter 静噪滤波器
noise generator 噪声发生器
noise generator diode 噪声发生掐极管
noise immunity 抗扰度
noise level 噪声电平
noise limiter 噪声抑制器
noise margin 噪声容限
noise meter 噪声测试器噪声计
noise modulation 噪声灯
noise power 噪声功率
noise source 噪声源
noise spectral power density 噪声功率频谱密度
noise spectrum 噪声频谱
noise stability 噪声稳定度
noise standard 噪声标准
noise suppression 噪声抑制
noise suppressor 噪声抑制器
noise temperature 噪声温度
noise unity 噪声单位
noise voltage 噪声电压
noiseless tuning 无噪声党
noisy channel 有噪声信道
noisy signal 噪声信号
nominal power 额定功率
nominal transformation ratio 标称变换系数
nominal value 标称值
non directional 不定向的
non directional current protection 非方向电粒护装置
non directive 不定向的
non homing 不归位的
non inductive load 无感负载
non inductive resistance 无感电阻
non linear 非直线性的
non linear amplifier 非线性放大器
non linear distortion 非直线失真
non linear element 非线性元件
non linear network 非线性网络
non linear potentiometer 非线性电位计
non linear scale 非线性标度
non linear system 非线性系统
non linear time base 非线性时基
non polarized relay 无极继电器
non resonating aerial 非谐振天线
non resonating antenna 非谐振天线
non symmetrical adjustment 不对称蝶
nonalbyed contact 无合金化接触
noncavity laser 无谐振腔激光器
nonconductor 非导体
noncontact measurement 非接触测量技术
noncontact plunger 非接触式活塞
noncontact printing 无接触投影曝光
noncontact scribing 无接触划片
noncontact welding 非接触焊接
nondedicated part 非专用元件
nondefective zone 无缺陷区
nondegenerate gas 非简并气体
nondegenerate semiconductor 非简并半导体
nondegenerate state 非简并态
nondestructive check 非破坏性试验
nondestructive evaluation 非破坏可靠性评价
nondestructive monitoring 非破坏性试验
nondestructive test 非破坏性试验
nondestructive testing 非破坏性试验
nondirect transition 间接跃迁
nonequilibrium carrier 非平衡载劣
nonequilibrium density 非平衡浓度
nonequilibrium state 非平衡态
nonexcited state 非激励状态
nonflame spot bonder 无火焰点焊机
nonflatness 非平面度
nonflexible coaxial line 刚性同轴线
noninvasive probe 非侵袭探针
nonlinear distortion 非线性失真
nonlinear optics 非线性光学
nonlinear response 非线性响应
nonlinearity 非直线性
nonplanarity 非平面度
nonpolar crystal 无极性晶体
nonpolarized light 非偏振光
nonradiative jump 无辐射跃迁
nonradiative recombination 无辐射复合
nonradiative transition 无辐射跃迁
nonradiatve transfer process 无辐射传输过程
nonrectifying junction 非整玲
nonredundant integrated circuit 无冗余集成电路
nonsaturated logic 非饱和逻辑
nonsaturated mode 非饱和方式
nonsaturation current voltage characteristic 非饱和电恋缪固匦憎
nonselfmaintained discharge 非自持放电
nonsteady state 不稳定状态
nonthreshold logic 无阈值逻辑
nonuniform field 不均匀场
nonuniformity 不均匀性
nonvolatile memory 非易失性存储器
nonvolatile memory array 非易失性存储企列
nonvolatile ram 非易失随机存取存储器
nor circuit 或非电路
nor gate 或非门
norm 基准
normal contact 定常接点
normal crystallization 正常结晶
normal electrode potential 标准电极电位
normal form 标准形
normalized admittance 归一化导纳
normalized impedance 归一化阻抗
normally off fet 增强型场效应晶体管
normally on fet 耗尽型场效应晶体管
not and circuit 与非电路
not circuit 非电路
not gate 非门
not or circuit 或非电路
notch 凹槽
notching relay 脉冲次数继电器
notice 报文
novolac resin 酚醛清漆尸
nre 负阻元件
ntcr 电阻的负温度系数
ntl 无阈值逻辑
ntsc color television system ntsc 制彩色电视
nuclear laser 核激光器
nuclear pumping 核抽运
nuclear weapon 核武器
nucleating layer 成核层
nucleation 成核
nucleation center 成核中心
nucleation energy 成核能
nucleation rate 成核速度
nucleation time 成核时间
nucleus 晶核
nucleus formation 成核
nucleus growth 核生长
nucleus of crystal 晶核
number of scanning lines 扫描线数
numerical aperture 数值孔径
numerical code 数字码
numerical control 数字控制
numerical modeling 数值模拟
numerically controlled optical system 数字控制光学系统
nuvistor 超小型抗震电子管
nvm 非易失性存储器
nvram 非易失随机存取存储器o type backward wave tube o 型返波管
o type tube o 型超高频电子管
oat 氧化物对准晶体管工艺
object distance 目标距离
object identification 目标识别
objective 物镜
objective turret 镜头转轮
oblique anode 倾斜阳极
oblique deposition 倾斜淀积
oblique evaporation 斜射蒸镀
observability 可观测性
occlusion 吸气酌
occupancy 占有
occupation density 占有密度
occupid state 填充状态
octode 八极管
ocular lens 目镜
odd harmonic 奇次谐波
ode 定向腐蚀剂
oeic 光电子集成电路
oerstedmeter 奥斯特计
off chip amplifier 片外放大器
off chip connection 芯片外连接
off chip resistor 片外电阻
off condition 截止状态
off contact 触点断开
off hook 摘机
off hook circuit 闭合电路
off line control 脱机控制
off line mode 脱机方式
off line storage 脱机存储器
off load voltage 开路电压
off position 开始状态
off resistance 关态电阻
off state 断开状态
off the shelf integrated circuit 现用集成电路
off time 关机时间
off transistor 截止晶体管
office busy hour 电话局忙时
office call 局内呼叫
office communication 局内通信
offset 偏置;偏置
offset carrier 偏离载波
offset gate fet 补偿栅场效应晶体管
offset subcarrier system 副载波偏置系统
og 或门
ohm 欧
ohmammeter 欧安表
ohmic bridge 电阻电桥
ohmic contact 欧姆接触
ohmic junction 欧姆接合
ohmic losses 欧姆损耗
ohmic metallization 欧姆接触金属化
ohmic resistance 欧姆电阻
ohmic short 欧姆短路
ohmmeter 欧姆计
oic 光集成电路
oil backstreaming 油汽返流
oil diffusion pump 油扩散泵
oil film 油膜
oil free pumping 无油排气
olb 外引线焊接
olfactronics 嗅觉电子学
omegatron 奥米伽器回旋质谱计
omni aerial 全向辐射天线
omnibearing distance facility 全方位测距设备
omnibearing indicator 方位自动指示器
omnidirectional aerial 全向辐射天线
omnidirectional antenna 全向天线
omnidirectional microphone 全方向传声器
omnidirectional radiation 全向辐射
omnidirectional range 全向无线电信标
omnidirective antenna 全向辐射天线
omnigraph 发送电报码的自动拍发器
on chip amplifier 片内放大器
on chip components 片内元件
on chip integration 片内集成化
on chip interconnection 片内互连
on chip redundancy 片内冗余
on chip resistor 片内电阻
on chip trimming 片内校正
on condition 接通条件
on hook 柱机
on line mode 联机方式
on line process control 联机处理控制
on off control 开关控制
on position 闭合位置
on resistance 导通电阻
on state 导通状态
on time 接通时间
on transistor 通导晶体管
on wafer chip test 薄片内芯片检测
one board microcomputer 单板微计算机
one chip amplifier 单片放大器
one chip integrated circuit 单片集成电路
one chip microprocessor 单片微处理机
one chipper 单片微处理机
one device cell 单晶体管单元
one layer metallization 单层金属化
one level metallization 单层金属化
one output 1输出
one pass gain 单级增益
one shot multivibrator 冲息多谐振荡器
one signal 1信号
one state 1状态
one step diffusion 单步扩散
one step reduction 单步缩小
one t cell 单晶体管单元
one to one projection system 一比一投影曝光装置
one to one wafer imaging 一比一薄片成像
one to zero ratio 一与零比
one transistor memory 单晶体管单元存储器
one way rectifier 半波整流
onset of arcing 电弧发生
opacity 不透萌
opaque defect 透帽陷
opaque layer 不透勉
opaque liquid 不透煤体
opaque mirror 不透玫
opaque photocathode 不透免电阴极
opaque plasma 不透萌离子体
opaque region 不透螟
opaque spot 不透眠点
open 开路
open antenna 室外天线
open circuit voltage 开路电压
open emitter 开路发射极
open resonator 开端式共振腔
open tube deposition 开管淀积
open tube diffusion 开管扩散
open tube diffusion system 开管扩散装置
open tube dry oxidation 开管干氧化
open tube poly 开管法制造的多晶硅
open tube steam oxidation 开管水蒸气氧化
open tube system 开管扩散系统
opening 开口
operating characteristic 工棕性
operating frequency 工椎率
operating mode 工捉式
operating point 工足
operating position 闭合位置
operating range 操锥围
operating range limit 极限酌范围
operating speed 工踪度
operating temperature range 工茁度范围
operating voltage 工诅压
operating wavelength 工专长
operation 运算
operation life 工踪命
operation position 操专
operational amplifier 运算放大器
operational mode 工捉式
operational reliability 工咨靠性
operator 话务员
operator's telephone set 话务员用送受话器
opposing connection 对绕
opposite polarity 相反极性
opposite spin orientation 自旋相反取向
optical absorption 光学吸收
optical aligner 光学对准器
optical alignment 光学对准
optical altimeter 光学高度计
optical amplifier 光放大器
optical cable 光缆
optical calculating machine 光计算机
optical checking 光学检验
optical communication 光通信
optical communication receiver 光通信接收机
optical computer 光计算机
optical computing system 光计算系统
optical coupler 光耦合器
optical coupling 光耦合
optical crosstalk 串光
optical damage 光学损伤
optical deflector 光偏转器
optical depth 光学深度
optical detection 光学探测
optical distance 光程
optical echo 光回波
optical erasure 光学消除
optical excitation 光激励
optical exposure 光学曝光
optical fiber 光导纤维
optical fiber brancher 光学纤维分流
optical fiber connection method 光学纤维连接法
optical fiber furcation 光学纤维分歧
optical fiber imperfection 光学纤维不完全性
optical fiber transmission 光学纤维传输
optical finish 抛光
optical heterodyne receiver 光外差接收机
optical heterodyning 光外差酌
optical heterogenity 光学不均匀性
optical hologram 光学全息图
optical homodyne receiver 光学零差接收机
optical homodyning 光零差检波
optical identification 光学识别
optical image repeater 光学步进重复照相机
optical imaging 光学成象
optical information processing 光学信息处理
optical inhomogeneity 光学不均匀性
optical integrated circuit 光集成电路
optical integrated circuit fabrication 光集成电路制造
optical isolator 光频隔离器
optical length 光学长度
optical lithographic resolution 光蚀刻清晰度
optical lithogrpahy 光蚀刻
optical location 光学测位
optical logic 光学逻辑电路
optical mask 光掩模
optical mask stepper 光学步进重复照相机
optical masking 光掩蔽
optical measurement 光学测量
optical memory 光存储器
optical modulator 光灯器
optical noise immunity 光抗扰度
optical noise origin 光学噪声源
optical overlay method 光学叠加法
optical path difference 光程差
optical path length 光程长度
optical pattern 光学图像
optical pattern generator 光学图像发生器
optical pattern transfer 光学图样转移
optical patterning 光刻成像
optical printer 光学晒片机
optical printing 光蚀刻
optical processor 光处理机
optical projection lithography 光学投影蚀刻
optical projection master 光学投影原版
optical pumping 光泵激
optical radar 光雷达
optical range 直视距离
optical ranger 光学测距仪
optical read only storage 光学只读存储器
optical reader 光扫描器
optical receiver 光接收器
optical reciprocity 光可逆性
optical recognition 光识别
optical recording 光记录
optical recording density 光记录密度
optical recording head 光记录头
optical resist 光刻胶
optical resonator cavity 光空腔谐振器
optical scanner 光扫描器
optical simulation 光学模拟
optical solid circuit 光集成电路
optical spectroscopy 光谱学
optical step and repeat camera 光学步进重复照相机
optical stepper lithography 步进式曝光蚀刻
optical superheterodyne receiver 光学超外差接收器
optical superheterodyning 光学超外差酌
optical switch 光开关
optical tachometer 光学转速计
optical tracking instrument 光跟踪仪器
optical transmission 光传输
optical transparency 光透萌
optical trigger 光电触发器
optical visibility 光学可见度
optical wafer stepper 步进式晶片曝光机
optical wafer stepping 步进式晶片曝光
optical waveguide 光波导管
optical waveguide coupler 光波导管耦合器
optical waveguide loss 光波导管损耗
optically aimed weapon 光学制导武器
optically coupled cavities 光学耦合谐振器
optically flat wafer 光学平面薄片
optically homogeneous layer 光学均匀层
optimal receiver 最佳接收机
optimal solar battery 最佳太阳电池
optimizing 最佳化
optimum bunching 最隹聚束
optimum packing 最隹聚束
optimum scale integration 最佳规模集成
optimum working frequency 最佳工椎率
optimun focusing 最佳聚焦
option design 选择设计
opto chip 光电子芯片
optoacoustic microscope 光 声学显微镜
optocoupler 光耦合器
optocoupler semiconductor device 光耦合半导体掐
optoelectronic device 光电子掐
optoelectronic integrated circuit 光电子集成电路
optoelectronic isolator 光电隔离器
optoelectronic semiconductor 光电子半导体
optoelectronic sensor 光电子传感器
optoelectronic switch 光电子开关
optoelectronic transistor 光电子晶体管
optoelectronics 光电子学
optoisolator 光隔离器
optophon 臭波多风
optotransistor 光晶体管
optron 光导发光元件
optronics 光电子学
or circuit 或电路
or gate 或门
orbit 轨道
orbital electron 轨道电子
orbital repeater 轨道中继站
orbital stability 轨道稳定性
order wire 传号线
ordered arrangement 有序排列
ordinary beam 普通射线
ordinary call 普通呼叫
ordinary ray 普通射线
ordinary wave 寻常波
organic based resist 有机基质光刻胶
organic binder 有机粘结剂
organic dye laser 有机染料激光器
organic electronic element 有机电子元件
organic fill 有机填料
organic liguid laser 有机染料激光器
organic liquid 有机液体
organic resist stripper 有机抗蚀剂去除装置
organic semiconductor 有机半导体
organization 组织
organometallic compound 有机金属化合物
organosilicon material 有机硅材料
orientation 晶向
orientation dependent etch 定向腐蚀剂
oriented crystallization 取向结晶酌
oriented growth 取向生长
oriented nucleation 定向成核
original artwork 掩模原图
oros 光学只读存储器
orthicon 正析像管
orthogonal scanning 正交扫描
oscillating klystron 振荡速弟
oscillation excitation 振荡激励
oscillation linewidth 振荡线宽
oscillation mode 振荡模
oscillation period 振荡周期
oscillation synchronization 振荡同步
oscillations 振动
oscillator 振荡器
oscillator circuit 振荡歧路
oscillator frequency 振荡频率
oscillator klystron 振荡速弟
oscillator triode 振荡讫极管
oscillator tube 振荡管
oscillator valve 振荡管
oscillatory circuit 振荡电路
oscillatory scanning 摆动扫描
oscillatory stability 振荡稳定性
oscillogram 示波图
oscillograph 示波器
oscillograph tube 示波管
oscillograph with electronic commutator 电子转换式示波器
oscillographic impedancemeter 示波阻抗计
oscillographic measurement 示波测量
oscilloscope 示波器
osi 最佳规模集成
out of contact exposure 无接触曝光
out of contact imaging 无接触成象
out of contact printing 无接触投影曝光
out of tolerance wafer 超出公差薄片
outdiffusion 向外扩散
outdoor antenna 室外天线
outer lead bonder 外引线焊接机
outer lead bonding 外引线焊接
outer mirror 外部镜
outer shell 外层
outer shell electron 外层电子
outermost orbit 最外层轨道
outfit 成套备用工具
outgassing 脱气
outgoing call 出话呼叫
outgoing trunk 去中继线
outlet 出口
outline 外形
output 输出
output admittance 输出导纳
output attenuator 输出衰减器
output capability 输出能力
output capacitance 输出电容
output filter 输出滤波器
output gap 输出隙
output impedance 输出阻抗
output loading factor 扇出端输数
output mirror 输出镜
output mirror transmission 输出镜透射
output monitor 输出监视器
output pentode 输出五极管
output pin 输出引线
output power 输出功率
output reflector 输出反射镜
output resistance 输出电阻
output resonator 收注栅
output signal 输出信号
output stability 输出参数稳定性
output stage 输出级
output transformer 输出变压器
output triode 输出三极管
output tube 输出管
output unit 输出设备
output value 输出值
oval cathode 卵形端面阴极
oven baking 烘炉退火
over frequency protection 过频率保护装置
overall dimensions 总尺寸
overall gain 总放大系数
overbunching 过聚束
overcharge 过充电
overcoat 复盖层
overcompensation 过补偿
overcomplexity 超集成度
overcurrent 过载电流
overdeveloping 显影过度
overdoping 过掺杂
overdriven amplifier 过压状态的放大器
overetching 过腐蚀
overexposure 过曝光
overhaul life 大修寿命
overhearing 串话
overlaid cmos 多层互补金属氧化物半导体
overlap 中性区
overlap region 重叠区
overlapping channels 交迭通道
overlapping gate ccd 迭加栅电荷耦合掐
overlay registration 迭置重合
overlay transistor 覆盖式晶体管
overlayer 重迭层
overload 超负荷
overload current 过载电流
overmodulation 过灯
overrunning 超过额定界限
oversaturation 过饱和
overshoot 过冲
overswing 过冲
overtone 泛音
overvoltage 过电压
ovonic memory 奥氏存储器
ovshinsky effect 奥维辛斯基效应
oxidant 氧化剂
oxidation 氧化
oxidation ambient 氧化气氛
oxidation barrier film 抗氧化薄膜
oxidation coating 氧化装置
oxidation furnace 氧化炉
oxidation mask 氧化用掩模
oxidation reaction 氧化反应
oxidation tube 氧化用管
oxidative stability 抗氧化性
oxide 氧化物
oxide aligned transistor technology 氧化物对准晶体管工艺
oxide cathode 氧化物阴极
oxide coated cathode 氧化物阴极
oxide densification 氧化物致密化
oxide dielectric 氧化物电介质
oxide encroachment 氧化物底部侵蚀
oxide etch 氧化物腐蚀
oxide film 氧化膜
oxide growth 氧化物生长
oxide isolated integrated circuit 氧化物隔离集成电路
oxide isolated island 氧化物隔离岛
oxide isolated monolithic technology 氧化物隔离单片集成电路技术
oxide isolated process 氧化物隔离工艺
oxide isolation 氧化物隔离工艺
oxide isolation trench 氧化物隔离槽
oxide masked diffusion 氧化物掩蔽扩散
oxide masking 氧化物掩蔽
oxide nitride masking 氧化物 氮化物掩蔽
oxide overetching 氧化膜过腐蚀
oxide overhang 氧化物突出部分
oxide passivation 氧化物钝化法
oxide pattern 氧化层图案
oxide pinhole 氧化膜针孔
oxide plasma 氧化物形成用等离子体
oxide reactor 等离子体氧化反应器
oxide resist method 氧化物掩蔽法
oxide step 氧化物阶梯
oxide walled base 侧壁氧化基极
oxide walled emitter 侧壁氧化发射极
oxide window 氧化层窗孔
oxidizer 氧化剂
oxidizing agent 氧化剂
oxidizing environment 氧化气氛
oxygen 氧
oxygen atmosphere seal 氧气氛里封装
oxygen gas plasma stripping 氧等离子体去胶
oxygen impermeable mask 不透氧掩模
oxygen implanter 氧离子注入器
oxygen meter 量氧计
oxygen monitoring 氧含量控制
oxygen precipitation 氧沉淀
oxynitride film 氮氧化合物薄膜
ozone induced scumming 臭氧感生未显影光刻胶形成p channel fet p 沟道场效应晶体管
p channel metal oxide semiconductor transistor p 沟道金属氧化物半导体晶体管
p channel mos p 型沟道金属氧化物半导体
p contact p 型接触
p diffused region p 型扩散区
p dopant p 型掺杂剂
p dopant modifier p 型掺杂剂
p doped drain p 型掺杂漏极
p doped semiconductor p 型半导体
p doped source p 型掺杂源极
p epi p 型外延层
p i n diode pin二极管
p i n diode phase shifter p i n 二极管移相器
p i n photodiode p i n 光电二极管
p n junction p n 结
p n junction isolation p n 结隔离
p n junction rectification p n 结型整流
p type conduction p 型导电性
p type diffusion p 型扩散
p type dopant p 型掺杂剂
p type doping p 型掺杂
p type semiconductor p 型半导体
p well diffusion p 阱形成扩散
p well mask p 阱形成掩膜
p+ guard ring p+ 型保护环
pack 部件
pack carrier television station 便携式电视发射机
package 外壳
package assembly 外壳组装
package base 外壳基座
package closure 管壳密封
package header 外壳基座
package inductance 管壳电感
package lead 外壳引线
packaged chip 封装的集成电路
packaged integrated circuit 封装的集成电路
packaged tube 成套管
packageless integrated microcircuit 无封装集成微型电路
packaging 封装
packaging density 组装密度
packaging hardware 封装装置
packaging socket 封装用底座
packaging system 封装装置
packet 包
packet communication 包通信
packet network 包交换网络
packet switched network 包交换网络
packet switching 包交换
packet transmission 包传输
packet transmission system 包传输系统
packing 包装
packing density 组装密度
pad 焊径
pad layer 焊接层
pad registration 焊接点重合
pager 页面等程序
pair 电偶
pair creation 电子 空穴对产生
pair production 电子 空穴对产生
paired echo 对回波
pal 可编程序逻辑阵列
palladium silver conductor system 钯 银导体系统
pam 脉冲幅度灯
pancake package 偏平管壳
panel 板
panel mounting 面板装配
panelectronics 泛电子学
panoramic receiver 扫涤收机
pantelegraphy 传真电报学
pantograph 比例画器
parabolic index optical fiber 抛物线折射率剖面光纤维
parabolic mirror 抛物柱面镜
parabolic reflector 抛物面反射器
parabolic reflector antenna 抛物面反射体天线
parabolically graded optical fiber 抛物线折射率剖面光纤维
paracrystal 不完全晶体
parallel beam 平行光束
parallel feed 井联馈电
parallel gap weld 平行间隙式焊接
parallel interface 并行接口
parallel light beam 平行光束
parallel mirror cavity 平行镜空腔
parallel operation 并行操作
parallel plate electron multiplier 平行板电极式电子倍增管
parallel plate plasma etcher 平行板等离子体腐蚀装置
parallel resonance 并联谐振
parallel transfer ccd 并行转移电荷耦合掐
parametric amplification 参量放大
parametric amplifier 参量放大器
parametric gain 参量放大
parametric interaction 参数相互酌
parametric laser 参量激光器
parametric oscillator 参量振荡器
parametric pumping 参数抽运
parametric resonance 参量谐振
paraphase amplifier 倒相放大器
parasite frequency modulation 寄生档
parasitic action 寄生酌
parasitic capacitance 寄生电容
parasitic element 寄生元件
parasitic oscillation 寄生振荡
parasitic oscillation suppression 寄生振荡抑制
parasitic oscillations 寄生振荡
parent material 母体材料
part 元件
part reliability 部件可靠性
partial compatibility 部分兼容性
partial dislocation 局部位错
partial pressure 分压
partial pressure analyzer 分压分析器
partial pressure gage 分压分析器
partially reflecting mirror 部分反射镜
partially transparent mirror 部分透玫
particle 粒子
particle accelerator 粒子加速器
particle beam technology 粒子束技术
particle bunching 粒子聚束
particles flux 粒子流
particles separation 粒子分离
particles storage 粒子存储
particulate contamination 微粒子污染
parts per billion 十亿分之几
parts per million 百万分之
parts per trillion 兆分之
party 群
party line 合用线
party line system 同线电话制
passband 通频带
passivant 钝化剂
passivated surface 钝化表面
passivating coating 钝化涂层
passivating layer 钝化层
passivation 钝化
passivation etching 钝化层腐蚀
passive antenna 无源天线
passive component 无源元件
passive element 无源元件
passive homing 被动导航
passive isolation 钝态隔离
passive q switch 无源 q 开关
passive q switching 无源祋
passive repeater 无源中继器
passive retransmission 无源转播
passive satellite repeater 无源卫星转发器
passively mode locked laser 无源锁模激光器
paste composition 膏成分
paste system 膏系统
path 路程
path length 路程长度
path loss 路径损耗
path of propagation 传播路径
pattern 光栅;图样
pattern alignment 图形对准
pattern array 图像阵列
pattern blurring 图像模糊
pattern definition 图案形成
pattern element 图形单元
pattern fabrication 图像形成
pattern formation 图形生成
pattern generation 图形生成
pattern generator 测视图案信号发生器;图形发生器
pattern identification 图形识别
pattern mask 图像掩模
pattern matrix 图像阵列
pattern repeater 步进重复照相设备
pattern replication 图像重复
pattern writer 绘图机
patterned film 有图膜
patterning 图案形成
patterning exposure 图像形成曝光
pbx 专用小交换机
pc 印刷电路板
pcb 印刷电路板
pcb insertion 印刷电路板装配
pci 多晶硅隔离
pcm 脉码灯
pcm exchange 脉码灯电话交换局
pcm signal 脉码灯信号
pdm 脉冲持续时间灯
pdp 等离子体显示板
peak 最大值
peak current density 最大电淋度
peak factor 峰值因数
peak output power 最大输出功率
peak power density 峰值功率密度
peak power output adjustment 最大输出功率蝶
peak pulse amplitude 峰值脉冲振幅
peak sideband power 峰值边带功率
peak signal 峰值信号
peaker 微分电路
pedestal chip 凸点焊盘型芯片
pel 像素
pellet part 片状掐
pellicle 薄膜
pellicle reticle 薄膜中间掩模
peltier effect cooler 珀耳帖效应冷却器
pencil beam antenna 锐锥形射束天线
pencil tube 笔形管
penetrability 穿透能力
penetrating power 穿透能力
peniotron 超高频放大管
penning discharge 潘宁放电
pentagrid 五栅管
pentagrid converter 五栅管混频器五栅管变频器
pentode 五极管
penumbral blur 图像贸交界处模糊
per amplifier 前置放大器
per slice yield 薄片成品率
per unit area concentration 单位面积浓度
per unit volume concentration 单位体积浓度
percentage modulation 灯度
percentage modulation meter 灯度测试器
perfect dislocation 完全位错
perfect lattice 理想晶格
performance 性能
performance characteristic 动棕性
performance monitor 性能监视器
performeter 性能监视器
periodic aerial 党驻波天线
periodic antenna 党驻波天线
periodic waveguide 周期性波导管
peripheral blade 外圆型刀片
peripheral chip 周边集成电路
peripheral circuit 周边集成电路
peripheral device 外围掐
peripheral electron 外层电子
peripheral saw 外圆型锯片
permanent echo 固定目标的回波
permanent mask 固定掩模
permanent memory 固定存储器
permanent storage 9 固定存储器
permatron 磁场控制管
permeability 导磁率
permeability tuning 异磁系数党
permeable base 穿透性基极
permeameter 磁导计
permissible irradiation 允许照射
permissible orbit 允许轨道
permitted transition 容许跃迁
permittivity 介电常数
perpendicular magnetization 垂直磁化
persistence 余辉时间
persistence characteristic 余辉特性
persistency 持续性
persistent photoconductivity 残留光电导性
person to person call 定人呼叫
personal call 定人呼叫
perturbation 干扰
perveance 导电系数
pfet p 沟道场效应晶体管
pfm 脉冲频率灯
pga 管脚阵列
phantom circuit 约像电路
phase 相位
phase adjustment 相位蝶
phase advancer 进相器
phase angle 相位角
phase angle meter 相位角计
phase changer 变相器
phase coincidence 相位重合
phase comparator 相位比较器
phase compensator 相位补偿器
phase constant 波长常数
phase control 色爹
phase converter 相位变换器
phase corrector 相位补偿器
phase delay 相位滞后
phase detector 鉴相器检相器
phase detuning 相位失调
phase deviation 相位偏移
phase diagram 相图
phase difference 相位差
phase discriminator 鉴相器检相器
phase distortion 相位失真
phase distortion corrector 相位补偿器
phase equality 同相位
phase equalization 相位均衡
phase equalizer 相位均衡器
phase error 相位误差
phase error correction 相位误差校正
phase focusing 相位聚焦
phase frequency distortion 相位频率失真
phase front 相位波前
phase inversion 倒相
phase jitter 相位择动
phase lag 相位滞后
phase lock 锁柑
phase locked loop 锁相环路
phase locking 锁相
phase locking technique 锁相技术
phase mode locking 相位模式同步
phase modulated signal 掂信号
phase modulation 掂
phase modulator 相位灯器
phase of a charged particle 带电粒子相位
phase oscillations 相位振荡
phase plane 相位平面
phase precorrection 相位预校正
phase reference 相位基准
phase response 相位响应
phase sensitive detector 相敏检波器
phase shift 移相
phase shift keyed signal 相移键控信号
phase shift keying 相移键控
phase shifter 移相器
phase spectrum 相位谱
phase stability 相位稳定度
phase stabilization 相位稳定
phase synchronization 相位同步
phase transition 相位跃迁
phase uniformity 相位均匀性
phase variation 相转变
phase velocity 相位速度
phased antenna array 相控天线阵
phased array radar 相控天线阵雷达
phaser 帧同步机
phasing 相位蝶
phasing line 定相线
phasing signal 整相信号
phasometer 相位计
phenolic resin 酚醛尸
phono cartridge 拾音颇座
phonogram 话传电报
phonograph 留声机
phonon maser 声子微波激射器
phosphor 荧光体
phosphor doping 磷掺杂
phosphor dot 荧光点
phosphor screen 荧光屏
phosphorous diffusion source 磷扩散源
phosphorous doped oxide 掺磷氧化物
phosphorous emitter 掺磷发射极
phosphorous ion implant 磷离子注入
phosphorous semiconductor 掺磷半导体
phosphorus 磷
phosphosilicate glass 磷硅酸玻璃
photo artwork 光原图
photo darlington 光电晶体管达林顿电路
photo fet 光控场效应晶体管
photo field effect transistor 光控场效应晶体管
photo field emission 光场致发射
photo tool 光掩膜
photoacoustic effect 光声效应
photoactive electrode 光敏电极
photoanode 光电阳极
photoblank 掩模底版
photocapacitance 光电电容
photocapacitant detector 光电电容检测器
photocathode 光电阴极
photocathode travelling wave tube 光电阴极行波管
photocell 光电池
photochemical deposition 光化学淀积
photochemical effect 光化学效应
photochemical vapor deposition oxide 光化学汽相淀积氧化物
photocomposer 照相排字机
photocomposition 照相排字
photocomposition machine 照相排字机
photoconductive cell 光敏电阻器
photoconductive detector array 光电导探测企列
photoconductive element 光电导元件
photoconductive film 光导层
photoconductive layer 光导层
photoconductive target 光电导靶
photoconductivity 光电导性
photocoupled semiconductor device 光电耦合半导体掐
photocoupler 光电耦合器
photocoupling 光电耦合
photocurrent 光电流
photodeposition 光化学淀积
photodetector 光检测器
photodiode 光电二极管
photodiode array 光电二极管阵列
photodissociation 光致离解
photodissociation laser 光致离解激光器
photodissociative laser 光致离解激光器
photoelastic effect 光弹效应
photoelectret state 光驻极状态
photoelectric 光电的
photoelectric cartridge 光电式拾音头
photoelectric cell 光电池
photoelectric effect 光电效应
photoelectric emission 光电发射
photoelectric reader 光扫描器
photoelectric receptor 光电接收机
photoelectric scanner 光电扫描器
photoelectric signal voltage 光电信号电压
photoelectricity 光电;光电现象
photoelectrochemical cell 光电化学电池
photoelectrochemical etching 光电化学腐蚀
photoelectron 光电子
photoelectron spectroscopy 光电子光谱法
photoelectronics 光电子学
photoelectrophoretic image display device 光电泳图象显示掐
photoelelectronic device 光电子掐
photoelement 光电池
photoeletric memory device 光电存储掐
photoeletric storage device 光电存储掐
photoemission 光电发射
photoemission spectroscopy 光电子发射光谱学
photoemissive cell 光电发射元件
photoemitter 光致发射体
photoemulsion 照相乳胶
photoengraving 光刻蚀
photoetching 光刻蚀
photoexcitation 光激励
photoferroelectric 光敏铁电体
photoformer 阴极射线函数发性器;光电函数发生器
photographic mask 光掩膜
photoionization 光电离
photoionization laser 光致电离激光器
photolacquer mask 光致抗蚀剂掩模
photolayer 光敏层
photolithographic mask 光刻用掩模
photolithographic resolution 光刻蚀清晰度
photolithography 光刻法
photoluminescence 光致发光
photolysis 光分解
photolytic reduction 光解还原
photomagnetoelectric effect 光磁电效应
photomask 光掩膜
photomask copy 光掩模复印
photomask damage 光掩模损伤
photomask drawing 光掩模草图
photomask inspection 光掩模检查
photomask inspector 光掩模测试设备
photomask pattern 光掩模图形
photomask processor 光刻装置
photomask resolution 光掩模清晰度
photomask scrubber 光掩模洗涤器
photomasking 光刻法
photometer 光度计
photomicrograph 显微照片
photomicrography 显微照相术
photomultiplier 光电倍增管
photomultiplier tube 光电增倍管
photomultiplier with discrete dynodes 分离电极式光电倍增管
photon 光量子
photon amplification 光子僚大
photon counter 光子计数器
photon detector 光子探测器
photon echo 光量子回波
photon engine 光子发动机
photon preionization laser 光子预电离激光器
photon pumping 光子抽运
photopiezoelectric 光压电体
photoplate 照相底版
photoplotter 光电绘图仪
photopotentiometer 光电位计
photoprocessing 光刻法
photoreader 光电读出器
photoreceiver 光敏接收器
photoreceptor 光传感器
photoreduction 照相缩板
photorelay 光控继电器
photorepeater 照相复印机
photoresist 光致抗蚀剂
photoresist burnoff 等离子去胶
photoresist coater 涂胶器
photoresist controlled etch 光刻胶掩蔽控制腐蚀
photoresist developer 光刻胶显影剂
photoresist edge build up 硅片边缘上光刻胶的积累
photoresist etching 光刻胶掩蔽腐蚀
photoresist film 光刻胶膜
photoresist integrity 光刻胶完整性
photoresist lacquer 感光尸
photoresist lift off 光刻胶剥离
photoresist mask 光致抗蚀剂掩模
photoresist mask pattern 光致抗蚀剂掩模图形
photoresist masked etching 光刻胶掩蔽腐蚀
photoresist masking 光刻胶掩蔽
photoresist pattern delineation 光刻胶层图像形成
photoresist process 光刻法
photoresist spinner 旋转涂胶机
photoresistor 光敏电阻器
photoresponsive junction 光敏结
photosensitive 光敏的
photosensitive film 感光膜
photosensitive layer 光敏层
photosensitive semiconductor 光敏半导体
photosensitivity 光敏度
photosensor 光敏元件
photosetting 照相排字
photosurface 感光面
phototelegram 传真电报
phototelegraphic apparatus 传真电报机
photothyristor 光电闸淋
phototransistor 光电晶体管
phototron 矩阵光电管
phototube 光电管
photovaristor 光变阻器
photovoltage 光电压
photovoltage cell 光电池
photovoltaic cell 光电池
photovoltaic effect 光生伏打效应
photovoltaic energy conversion 光生伏打能量转换
photovoltaic module 光生伏打组件
photox 光化学汽相淀积氧化物
physical circuit 实线线路
physical sputtering 物理溅射
physical vapor deposition 物理汽相淀积
physisorption 物理吸着
pick up coil 耦合线圈
pick up tube 电视摄像管
pickup 拾音器
pickup arm 拾音欺
pickup link transmitter 拾像中继发射机
picosecond holography 微微秒全息照相术
picosecond pulse 微微秒脉冲
picosecond technique 微微秒技术
pictorial wiring diagram 图示接线图
picture 图像
picture altitude 图像高度
picture amplifier 图像信号放大器
picture black 黑图像
picture element 像素
picture frequency 帧频
picture monitor 图像监视器
picture reproduction 图像重显
picture resolution 图像清晰度
picture sharphness 图像清晰度
picture signal 图像信号
picture telephone 电视电话
picture transmission 视频传输
picture transmitting 图像传送
picture tube 显像管
piece part 零件
piezocrystal 压电晶体
piezoelectric 压电体
piezoelectric cartridge 压电式拾音头
piezoelectric crystal 压电晶体
piezoelectric loudspeaker 压电扬声器
piezoelectric microphone 压电传声器
piezoelectric receiver 压电晶体受话器
piezoelectricity 压电现象
piezoresonator 压电谐振器
pigtail 抽头
pillow distortion 枕形失真
pilot channel 异频电路
pilot frequency 异频
pilot signal 监控信号
pilot wire circuit 控制线电路
pin 管脚
pin assignment 引脚分配
pin compatibility 管脚互换性
pin configuration 引脚分配
pin diode pin二极管
pin grid array 管脚阵列
pin grid array package 管脚阵列型管壳
pin grid spacing 管脚间距
pin in line package 管脚阵列型管壳
pin jack 管脚插口
pinch compression 等离子体压缩
pinch discharge 箍缩放电
pinch discharge pumped laser 箍缩放电抽运激光器
pinch discharge pumping 箍缩放电抽运
pinch effect discharge 箍缩效应放电
pinch off 夹断
pinch roller 压紧轮
pinhole 针孔
pinout 引脚分配
pinpoint soldering 点焊
pip 尖头信号
pipe diffusion 沿位错线扩散
pirani gage 皮喇尼真空计
piston 活塞
piston attenuator 活塞式衰减器
pit 洞
pitch 管脚间距
pitch control 行距控制
pitch of grooves 槽间距
pitting 凹坑的形成
pixel 像素
placer 放置器
planar air isolation 平面型空气隔离
planar circuit 平面电路
planar diode 平极型二板管
planar dopant host 片状扩散源
planar electrode 平面电极
planar epitaxial diode 平面型外延二极管
planar epitaxial technique 平面外延工艺
planar epitaxial transistor 平面型外延晶体管
planar integrated circuit 平面集成电路
planar junction 平面结
planar lightguide 平面光波导
planar mounted integrated circuit 偏平封装集成电路
planar oxidation 平面氧化
planar package 平面管壳
planar photodiode 平面型光敏二极管
planar plasma etcher 平面式等离子体腐蚀器
planar plasma reactor 平面式等离子体腐蚀器
planar process 平面工艺
planar processing approach 平面技术
planar source 片状扩散源
planar technique 平面技术
planar transistor 平面晶体管
planar wafer 平面薄片
planarity 平面度
planarization ring 整平环
planarized structure 平面型结构
plane aerial 平面形天线
plane antenna 平面形天线
plane of polarization 偏振面
plane parallel cavity 平行平面空腔
plane polarized light 平面偏振光
plane polarized wave 平面偏振波
plane position indicator 平面位置指示器
plane reflector antenna 平面反射旗线
plane sinusoidal wave 平面正弦波
plane wave 平面波
planetary fixture 行星型夹具
plank's constant 普朗克常数
planning 元件布置
plant intercommunication 厂内通信
plasma 等离子体
plasma accelerator 等离子体加速器
plasma anodization 等离子体阳极氧化
plasma ashing 等离子灰化
plasma balance 等离子体平衡
plasma chemical vapor deposition 等离子体化学汽相淀积
plasma cloud 等离子体云
plasma column 等离子体柱
plasma confinement 等离子体约束
plasma desmear system 等离子清洁处理装置
plasma display 等离子体显示器
plasma display panel 等离子体显示板
plasma etch cleaning 等离子腐蚀清洗
plasma etch mask 等离子腐蚀用掩模
plasma etcher 等离子体腐蚀器
plasma etching 等离子腐蚀
plasma frequency 等离子体频率
plasma gun 等离子枪
plasma heating 等离子体加热
plasma isolation 等离子体隔离
plasma jet 等离子体射流
plasma laser 等离子体激光器
plasma lifetime 等离子体寿命
plasma oscillations 等离子体振荡
plasma oxidation 等离子体氧化
plasma panel 等离子体显示板
plasma sheath 等离子壳层
plasma spraying 等离子溅射
plasma sputtering 等离子溅射
plasma stripping 等离子去胶
plasma waves 等离子体波
plasmatron 等离子立生管
plastic battery 塑料电池
plastic bleed 塑料漏出
plastic capsulation 塑料封装
plastic carrier 塑料载体
plastic deformation 塑性变形
plastic device 塑料封装掐
plastic dual in line package 塑料双列直插式外壳
plastic encapsulated integrated circuit 塑料封装集成电路
plastic encapsulation 塑料封装
plastic film 塑料膜
plastic packaged integrated circuit 塑料封装集成电路
plastic packaging 塑料封装
plasticizer 增塑剂
plate 板
plate characteristic 阳极特性
plate current modulation 屏极电疗
plate detection 阳极检波
plate dissipation 屏极耗散
plate efficiency 阳极效率
plate load 屏极负载
plate neutralization 阳极中和
plate of a capacitor 电容颇板片
plate resistance 阳极电阻
plate terminal 阳极端子
plate voltage 阳极电压
plated circuit 印刷电路
plated hole 金属化孔
plated resist 电镀抗蚀层
plated through hole 电镀的穿孔
plating 喷镀
plating bath 电镀槽
platinum 铂
playback 再现
pleochroic dye 多色性染料
pliotron 高度真空管
pll 镇相回路
plotter 绘图机
plotting table 标绘板
plug and socket 插头插座
plug board 插接板
plug connector 插塞式连接器
plug in module 插件
plug in package 插入式组件
plumbicon 氧化铅光导摄象管
plunger 短路器
pm 掂
pmos transistor p 沟道金属氧化物半导体晶体管
pockels cell 普克尔斯盒
pockels effect 普克尔斯效应
pockels effect light valve 普克尔斯效应光阀
point 点
point cathode 点状阴极
point charge 点电荷
point contact 点接触
point contact diode 点接触二极管
point contact junction 点接触结
point contact transistor 点接触晶体管
point defect 点缺陷
point diode 点接触二极管
point radiator 点状辐射体
point to point circuit 点到点通信
point to point communication 点到点通信
point to point link 点到点通信
point transistor 点接触晶体管
polarity 极性
polarization ellipse 偏振椭圆
polarization error 极化误差
polarization holography 偏振光全息照相术
polarization plane 偏振面
polarization rotation 偏振面旋转
polarization vector 极化失量
polarized beam 偏振波束
polarized light 偏振光
polarized wave 极化波
polarizer 偏振器
pole tip 磁头尖
polisher lapper 研磨 抛光机
polishing etchant 抛光用腐蚀剂
pollution detection 污染探测
poly plant 多晶硅制造装置
poly reox 多晶硅再氧化
poly semiconductor 多晶半导体
poly squared cmos 双层多晶硅互补金属氧化物半导体
polyatron 多阳极计数管
polychip dip 多片双列直插式外壳
polycide gate 多晶硅 硅化物栅极
polycrystal 多晶
polycrystal isolation 多晶硅隔离
polycrystalline deposition 多晶淀积
polycrystalline ingot 多晶锭
polycrystalline silicon gate mos 多晶硅栅金属氧化物半导体
polycrystalline structure 多晶结构
polyimide adhesive 聚酰亚胺粘合剂
polyimide film 聚酰亚胺膜
polymer adhesive 聚合物粘合剂
polymer thick film 聚合物厚膜
polymeric resist 聚合物光刻胶
polymeric sealant 聚合物密封剂
polymerized photoresist 聚合物光刻胶
polyresistor 多晶硅电阻器
polyrod antenna 介质天线
polysilicon 多晶硅
polysilicon backfill 多晶硅填充
polysilicon boat 多晶硅小舟
polysilicon diffusion equipment 多晶硅管扩散炉
polysilicon fet 多晶硅场效应晶体管
polysilicon filling 多晶硅填充
polysilicon gate ccd 多晶硅栅电荷耦合掐
polysilicon gate process 多晶硅栅工艺
polysilicon on oxide region 氧化物层上多晶硅区
polysilicon self aligned process 多晶硅自对准工艺
pool cathode 液体阴极
pool rectifier 液体阴极整流
population difference 粒子数差
population inversion 粒子数反转
population inversion lifetime 粒子数反转寿命
population lifetime 粒子数寿命
population measurement 粒子数测量
porous getter 多孔吸气剂
porous silicon 多孔硅
port radar 港口雷达
portable 携带式的
portable laser 便携式激光器
portable set 便携式无线电机
portable television receiver 便携式电视接收机
portable television transmitter 便携式电视发射机
portable transmitter 便携式发射机
position 位置
positioner 位置控制器
positioning system 位置控制器
positioning table 定位台
positive 正的
positive artwork 正象原图
positive bias 正偏压
positive carrier 正电荷载劣
positive column 正电柱
positive electron affinity 正电子亲合力
positive emulsion 正性乳剂
positive feedback 正反馈
positive glow 阳辉光
positive image 正象
positive image material 正性光刻胶
positive ion beam 正离子束
positive modulation 正极性灯
positive pattern 正象
positive photoresist 正性光刻胶
positive phototool 正像掩模
positive picture 正像
positive rays 阳极射线
positive record 正像记录
positive resist lithography 正性胶光刻
positive sync pulse 正同步脉冲
positive temperature coefficient of resistance 电阻的正温度系数
positive transmission 正灯传送
post acceleration 后加速
post bake 后烘
post deflection acceleration 后加速
post seal visual 密封后肉眼检查
post selection 后选择
postdevelopment bake 显影后烘烤
postexposure bake 曝光后烘烤
potential 电势
potential barrier 势垒
potential drop 电压降
potential pit 势阱
potential well 势阱
potentiometer 电位计
potted circuit 密封电路
potted electronic module 密封电子组件
potted element 密封元件
potting 密封
potting resin 密封用尸
power 功率
power amplifier 功率放大器
power apparatus 电源设备
power consumption 能量消耗
power conversion 能量变换
power density 功率密度
power detector 功率方向继电器
power divider 功率分配器
power gain 功率增益
power induction 电力线感应
power integrated circuit 功率集成电路
power loss 功率损耗
power modulation 功率灯
power module 电源组
power output 功率输出
power output variation 输出功率变化
power pack 电源组
power rating 额定功率
power semiconductor 功率半导体掐
power splitter 功率分配器
power stability 功率稳定性
power supply 电源
power supply of laser 激光歧源
power supply unit 电源部分
power transistor 功率晶体管
ppb 十亿分之几
ppm 百万分之
ppr 正性光刻胶
ppt 兆分之
pre amplifier 前置放大器
pre epitaxial growth 外延前生长
pre etch hardbake 腐蚀前烤硬
pre implantation 预注入
preacceleration 预加速
preaging 预老化
prealigner 预对准器
prealignment 预对准
preamplification 前置放大
preamplifier 前置放大器
prebake 前烘
precap visual 密封前肉眼检查
precedence indicator 优先指示符
precession of orbit 轨道进动
precession time 进动时间
precipitating crystallization 沉淀结晶化
precipitation 沉淀
precircuit 模型电路
precise finish 精密研磨
precise registration 精密对准
precision 准俑
precision photolithography 精密光刻
preconditioning 预处理
predeposit implant 预淀积注入
predeposition diffusion 预淀积扩散
prediction 预报
predissociation 预离解
preemphasis 预加重
preferential absorption 选择吸收
preferential doping 选择掺杂
preferential recombination 择优复合
preferred orientation 择优取向
preform 预成形
preheating 预加热
preionization 预电离
preionization laser 预电离激光器
preionized discharge 预电离放电
preionized pulsed laser 预电离脉冲激光器
premolded chip carrier 预模制芯片载体
prescaler 预换算装置
preseal burn in 密封前退火
preseal visual 密封前肉眼检查
preselection 预选
preselector 预选器
preserve colour film with laser 用激光保存彩色影片
preset capacitor 微电容器
press pack 紧压包装
pressure 压力
pressure contact 压力接点
pressure cooker 高压锅
pressure microphone 声压式话筒
preventive maintenance efficiency 预防性维修效率
prf 脉冲重复频率
primary electron 一次电子
primary line switch 第一级寻线机
primary radar 一次雷达
primary radiation 原辐射
primary radiator 原辐射器
primary standard 原始标准
primary wave 初波
prime 涂底层
principal lobe 吱瓣
principle of duality 对偶原理
principle of self phasing 自动定相原理
print through 透录
printed antenna 印刷天线
printed circuit 印刷电路板
printed circuit master drawing 印刷电路原图
printed circuit module 印刷电路组件
printed circuit pattern 印刷电路图案
printed circuit rack 印刷电路组装架
printed component part 印刷电路元件
printed conductor paste 网印用导体膏
printed resistor 印刷电阻器
printed wiring board 印刷电路板
printed wiring circuit 印刷布线电路
printer 印刷机
printergram 印字电报
printing 印刷
printing apparatus 印刷机
printing cathode ray tube 符号印刷阴极射线管
printing density 印刷密度
printing fidelity 印刷保真度
printing paste 印刷膏
printing reperforator 印字复凿孔机
printing telegraph 打字电报机
printing telegraphy 打字电报
printing wavelength 曝光射线波长
priority connection 优先连接
priority indicator 优先指示符
privacy system 保密制
private automatic branch exchange 专用自动小交换机
private branch exchange 专用小交换机
private line 专线
private telephone exchange 专用电话交换机
probability of failure 故障几率
probe 探针
probe array 探针阵列
probe contact pad 探针测试点
probe coupling 探针耦合
probe station 探测台
probe yield 探针测试成品率
prober 探针器
probing 探测
proceed to dial signal 进行选择信号
proceed to select signal 进行选择信号
process 工艺
process certification 工艺验证
process control gate 工艺控制台
process control inspection 工艺控制检查
process development chip 工艺过程开发用芯片
process development wafer 工艺过程开发用测试薄片
process engineering 工艺技术
process flow 工艺撂
process gas 工艺气体
process liquid 工艺液体
process qualification 工艺鉴定试验
process repeatability 工艺复现性
process sequence 工艺步骤
process validation wafer 工艺检验用薄片
process visual control 工艺肉眼检查
processing 处理
processing chamber 工艺处理室
processing compatibility 工艺兼容性
processing equipment 工艺设备
processing facilities 工艺设备
processing induced pinhole 工艺诱起的针孔
processing line 生产过程廉线
processing logic 处理逻辑
processing rate 处理速度
processing speed 处理速度
processing step 工艺步骤
processor 处理机
product mix 产品酶表
production communication 生产通信
production mask 工宗模
production plant 生产设备
prognosis 预报
program 程序
program circuit 广播节目电路
program control 程序控制
program mask 程序掩模
programmable array logic 可编程序逻辑阵列
programmable gate array 可编程序门阵列
programmable logic array 可编程序逻辑阵列
programmable read only memory 可编程序只读存储器
programmed interconnection pattern 程序控制互连图
programmer 程序设计? 程序设计员
progressive scanning 顺次扫描
progressive wave aerial 行波天线
progressive wave antenna 行波天线
progressive wave tube 行波管
projection 投影
projection aligner 投影式对准曝光装置
projection cathode ray tube 投射式显像管
projection display device 投影式显示掐
projection exposure 投影曝光
projection imaging 投影成像
projection lithography 投影光刻
projection mask 投影掩模
projection photolithography 投影光刻法
projection print plate 投影掩模
projection printer 投影式对准曝光装置
projection printing 投影光刻
projection reduction scale 投影缩小比例
projection stepper 步进式投影曝光装置
projection television 投影式电视
projection television receiver 投影式电视接收机
projection tube 投射管
projection type cathode ray picture tube 投射式阴极射线管
projector 投影式对准曝光装置
prolongation 延长
prom 可编程序只读存储器
propagation 传播
propagation constant 传播常数
propagation delay 传播延迟
propagation delay time 传播延时间
propagation difference 传播路程差
propagation length 传播距离
propagation loss 传播损耗
propagation path 传播路径
propagation ratio 传播比
proper value 固有值
proportional counter 比例计数器
protection 保护
protection circuit 保护电路
protective coating 保护镀层
protective covering 保护层
protective layer 保护层
protocol 日态
proton beam 质子束
proton bombardment 质子轰击
proton bombardment isolation 质子轰霍离
proton implanted region 质子注入区
prototype 原型
proximity aligner 接近式对准曝光器
proximity effect 邻近效应
proximity exposure 接近式曝光
proximity focused image tube 近聚焦式移像管
proximity gap 贴近间隙
proximity mask alignment 接近式掩模对准
proximity printer 接近式对准曝光器
proximity printing 接近式曝光
proximity spacing 贴近间隙
prr 脉冲重复频率
psa 多晶硅自对准工艺
pseudonoise generator 伪噪声信号发生器
psg 磷硅酸玻璃
psu 电源部分
ptcr 电阻的正温度系数
ptm 脉冲时间灯
public address system 扩音系统
public call office 公用电话亭
public data network 公用数据传送网络
public line 公用线
public network 公用网络
public telecommunications service 公用通信服务
public telephone station 公用电话亭
pull test 张力试验
pull up resistor 负载电阻
pulled crystal 拉制的晶体
pulled junction 生长结
puller 拉单晶机
pulling factor 牵引因数
pulling figure 曳谍值
pulling growth 拉制生长
pulling of crystals 直拉单晶
pulling rate 拉晶速度
pulling speed 拉晶速度
pulse 脉冲
pulse amplifier 脉冲放大器
pulse amplitude 脉冲幅度
pulse amplitude modulation 脉冲幅度灯
pulse analyzer 脉冲分析仪
pulse band 脉冲频带
pulse bandwidth 脉冲带宽
pulse base 脉冲底部
pulse carrier 脉冲载波
pulse clipper 脉冲熄器
pulse clipping 脉冲熄
pulse code 脉冲编酮
pulse code modulation 脉码灯
pulse compression 脉冲压缩
pulse compression technique 脉冲压缩技术
pulse counter 脉冲计数器
pulse decay time 脉冲后沿持续时间
pulse discriminator 脉冲鉴别器
pulse distribution amplifier 脉冲分配放大器
pulse doppler radar 脉冲多普勒雷达
pulse droop 脉冲顶倾斜
pulse duration 脉冲持续时间
pulse duration jitter 脉冲持续时间晃动
pulse duration modulation 脉冲持续时间灯
pulse duty factor 脉冲占空系数;脉冲占空因数
pulse edge 脉冲前沿
pulse energy 脉冲能量
pulse fall time 脉冲后沿持续时间
pulse flatness deviation 脉冲顶倾斜
pulse forming circuit 脉冲形成电路
pulse frequency 脉冲重复频率
pulse frequency modulation 脉冲频率灯
pulse front 脉冲前沿
pulse generator 脉冲发生器
pulse height 脉冲幅度
pulse height analyser 脉冲高度分析器
pulse height distribution 脉冲振幅分布
pulse height resolution 脉冲幅度分辨率
pulse interlacing 脉冲交错
pulse intermodulation interference 脉冲互瞪扰
pulse interval 脉冲间隔
pulse interval modulation 脉冲间隔灯
pulse jitter 脉冲颤动
pulse laser operation 脉冲激光扑转
pulse length 脉冲宽度
pulse length modulation 脉冲间隔灯
pulse length reduction 脉冲宽度压缩
pulse mixing 脉冲混合
pulse mode 脉冲模
pulse modulation 脉冲灯
pulse modulation radar 脉冲灯雷达
pulse modulator 脉冲灯器
pulse modulator radar 脉冲雷达
pulse noise 脉冲噪声
pulse operation 脉冲状态工作
pulse oscillograph 脉冲示波器
pulse period 脉冲周期
pulse phasing 脉冲相位蝶
pulse position modulation 脉冲位置灯
pulse pumping 脉冲抽运
pulse rate 脉冲重复频率
pulse ratio 脉冲比
pulse recurrence frequency 脉冲重复频率
pulse reflectometer 脉冲反射计
pulse regeneration 脉冲再生
pulse regeneration unit 脉冲再生器
pulse repetition cycle 脉冲重复周期
pulse repetition frequency 脉冲重复频率
pulse response 脉冲响应
pulse retardation circuit 脉冲延迟电路
pulse rise time 脉冲上升时间
pulse selector 脉冲选择器
pulse separation 脉冲间隔
pulse separation jitter 脉冲间隔晃动
pulse separator 脉冲分离器
pulse sequence 脉冲串
pulse shape 脉冲波形
pulse shaper 脉冲形成器脉冲整形电路
pulse shaping circuit 脉冲形成器脉冲整形电路
pulse spectrum 脉冲频谱
pulse stretcher 脉冲扩展器脉冲展宽器
pulse stretching 脉冲拖尾
pulse switch 脉冲开关
pulse sync 脉冲同步
pulse tail 脉冲尾部
pulse thyratron 脉冲闸淋
pulse tilt 脉冲顶倾斜
pulse time modulation 脉冲时间灯
pulse train 脉冲串
pulse train generator 脉冲列发生器
pulse transmission 脉冲传输
pulse triggering 脉冲触发
pulse velocity 脉冲传播速度
pulse widening 脉冲展宽
pulse width 脉冲宽度
pulse width modulation 脉冲间隔灯
pulse wing 脉冲尾部
pulsed irradiation 脉冲辐照
pulsed laser 脉冲激光器
pulsed magnet field 脉冲磁场
pulsed mode 脉冲工捉式
pulsed operation 脉冲工捉式
pulsed radar 脉冲雷达
pulsed tube 脉冲管
pulser 脉冲发生器
pulsing 脉冲发生
pulsing laser 脉冲激光器
pulsing radar 脉冲雷达
pulsing system 脉冲制
pump band 泵带
pump lamp 抽运灯
pump linewidth 抽运频带线宽
pump oscillator 泵激振荡器
pump voltage 泵激电压
pumpdown 抽气
pumping 抽运
pumping energy 抽运能量
pumping intensity 抽运强度
pumping length 抽运长度
pumping line 泵送管道
pumping power 泵功率;抽运功率
pumping source 抽运源
pumping speed 抽气速度
pumping system 泵激系统
pumping time 抽运时间
punch through 穿通现象
punching 打孔
puncture 哗
pure semiconductor 本针导体
purging 净化
purification 净化
purified germanium 精制锗
purified silicon 提纯硅
purifier 提纯器
purity 纯度
purity test 纯度检查
push button 按钮开关
push button dial 按钮拨号盘
push button telephone 按号式电话
push pull 推挽的
push pull amplifier 推挽放大器推挽式放大器
push pull connection 推挽接法
push pull detector 推挽式检波器
push pull stage 推挽级
pushbutton 电钮
pvd 物理汽相淀积
pyramid of growth 生长棱锥体
pyramidal horn 角锥形喇叭筒
pyroelectric detection 热电检测
pyroelectric detector 热电检测器
pyroelectric vidicon 热电视像管
pyrolytic decomposition 热解
pyrolytic deposition 热解淀积
pyrolytic oxide 热解氧化物
pyrolytic reaction 热解反应
pyrolytically deposited layer 热解淀积层
pyrotube furnace 热管式炉q components q 信号分量
q external 外界品质因数
q factor q 值
q factor modulation q 因数灯
q meter 品质因数测量仪
q signal q 信号
q spoiled laser q 突变激光器
q switch q 开关
q switch laser q 开关激光器
q switched unit q 开关装置
q switching of resonator 谐振腔 q 灯
q switching operation q 开关操作
q&r 质量和可靠性
qa 质量保证
qil 四列直插式外壳
qtat line 高生产效率工艺线
quad flat package 四列直插式扁平外壳
quad in line package 四列直插式外壳
quadraphonic amplifier 四声道立体声放大器
quadraphonic record 四声道立体声唱片
quadraphonic recording 四声道立体声录音
quadraphonic system 四声道立体声系统
quadratron 四极管
quadrature amplifier 相位正交放大器
quadrature detector 正交检波器
quadrature modulation 正交灯
quadrature phase 正交相位
quadripole 四端电路
quadrupole 四极透镜
quadrupole mass spectrometer 四极质谱分析器
qualification 鉴定试验
qualification test 鉴定试验
quality 品质
quality and reliability 质量和可靠性
quality assurance 质量保证
quality factor q 值
quality of transmission 传输品质
quantization 量子化
quantization distortion 量子化失真
quantization level 量子化电平
quantization method 量子化方法
quantization noise 量子化噪声
quantized signal 量子化信号
quantized system 量子化系统
quantizer 量子化装置
quantum 量子
quantum efficiency 量子效率
quantum electronics 量子电子学
quantum energy 量子能
quantum jump 量子跃迁
quantum light theory 光量子理论
quantum limited sensitivity 量子噪声限制灵敏度
quantum mechanical tunneling 量子力学隧道效应
quantum noise limited detector 量子噪声限制检波器
quantum noise limited receiver 量子噪声限制接收机
quantum of action 酌量子
quantum radioengineering 量子无线电工程
quantum statistics 量子统计学
quantum system 量子系统
quantum theory of light 光的量子理论
quantum well structure 量子阱结构
quantum yield 量子效率
quarterwave antenna 四分之一波长天线
quarterwave length 四分之一波长
quartz 水晶
quartz bell jar 钟形石英罩
quartz boat 石英舟
quartz capsule 石英密封小管
quartz chrome mask 石英铬掩模
quartz crucible 石英坩埚
quartz crystal 水晶
quartz filter 晶体滤波器
quartz lamp 石英灯
quartz oscillator 石英晶体振荡器
quartz plate 水晶片
quartz resonator 石英谐振器
quartz tube furnace 管状石英炉
quartz wavemeter 石英波长计
quasi electronic switching system 准电子开关系统
quasi fermi level 准费密能级
quasi fermi potential 准费密电位
quasi linear amplifier 准线性放大器
quasi multidimentional modeling 准多维模拟
quasi optical lightguide 准光学的光波导
quench 熄灭
quench pulse 复原脉冲
quenching circuit 猝熄电路
quenching frequency 猝熄频率
quenching of photoconductivity 光电导性猝熄
quenching resistor 降压电阻
quenching voltage 熄灭电压
quick dump rinser 高效率冲洗器
quick hardening 快速硬化
quick setup 快速蝶
quick turnaround time 短设计 生产周期
quiescent point 静点
quip 四列直插式外壳
quip socket 四列直插式组件插座
quitve circuit 有源电路r black level 红路黑电平
r c coupling 电阻电容耦合
r c oscillator rc振荡器
r peak level 红色信号峰值电平
r s flip flop r s 触发器
r s t flip flop 复位 置位同步触发器
r y modulator r y 灯器
r y signal r y 信号
rack mounting 支架安装
racon 雷达信标
rad hard 增强抗辐射性
rad hard process 耐辐射掐制造工艺
radar 雷达
radar absorbing material 雷达信号吸收材料
radar astronomy 雷达天文学
radar beacon 雷达信标
radar beam riding 雷达波束制导
radar blinder 反雷达颇
radar camouflage 防雷达伪装
radar chaff 防雷达箔条
radar clutter 雷达杂乱回波
radar control area 雷达控制区
radar controlled missile 雷达制导导弹
radar countermeasures 雷达对抗
radar cross section 雷达目标有效截面
radar detection 雷达探测
radar display 雷达显示器
radar display room 雷达显示室
radar early warning satellite 远程警戒雷达卫星
radar guidance 雷达制导
radar guided missile 雷达制导导弹
radar holography 雷达全息照相术
radar homer 雷达自动引导头
radar homing 雷达自动引导
radar horizon 雷达酌距离
radar image 雷达图像
radar immunity 雷达抗扰度
radar mapping 雷达地形测绘
radar marker 雷达信标
radar missile tracker 导弹跟踪雷达
radar moving target indicator 雷达移动目标显示器
radar plot 雷达测绘板
radar resolution 雷达分辨力
radar scan 雷达扫描
radar screen 雷达荧光屏
radar shadow 雷达盲区
radar target 雷达目标
radar tracking 雷达跟踪
radar transmitter 雷达发射机
radarscope 雷达显示器
radial beam tube 径向偏转电子射线管
radial betatron oscillations 径向电子回旋加速岂荡
radial etch nonuniformity 径向腐蚀不均匀性
radial flow plasma etching reactor 径向两等离子体腐蚀装置
radial flux power 辐射通量功率
radial focusing 径向聚焦
radial lead 径向引线
radial mode laser 径向模式激光器
radial network 星状网
radial stability 径向稳定性
radial tetrode 射束四极管
radial transmission line 径向输电线路
radiant flux density 辐射通量密度
radiant heating 辐射加热
radiating element 辐射单元
radiating gas 辐射气体
radiating heating 辐射加热
radiating molecule 辐射分子
radiating particle 辐射粒子
radiating slot 辐射缝
radiation 辐射
radiation absorber 辐射吸收器
radiation annealing 放射线退火
radiation cooling 辐射冷却
radiation damage 辐照损伤
radiation damage threshold 辐射损伤阈
radiation detector 辐射检测器
radiation effect 辐射效应
radiation efficiency 辐射效率
radiation free processing 无辐射线处理
radiation hard cmos 抗辐射互补金属氧化物半导体
radiation hardened integrated circuit 抗辐射集成电路
radiation hardening 增强抗辐射性
radiation hardness 耐辐射性
radiation height 有效高度
radiation induced trapping 辐射感应捕获
radiation injury 辐射线损伤
radiation insensitivity 辐射不灵敏性
radiation ionization 辐射电离
radiation lobe 辐射波瓣
radiation loss 辐射损耗
radiation path 辐射轨迹
radiation pattern 辐射图
radiation polarization 辐射极化
radiation pressure 辐射压
radiation propagation 辐射传播
radiation protection 辐射防护
radiation receiver 辐射接收机
radiation recombination 辐射复合
radiation resistance 耐辐射性
radiation resistant diode transistor logic 耐辐射二极管晶体管逻辑
radiation safety 辐射安全
radiation sensitive material 辐射灵敏材料
radiation source 辐射源
radiation sterilization 辐照杀菌
radiation strenght 耐辐射性
radiation survey meter 辐射测量仪
radiation susceptibility 辐射灵敏度
radiation tolerant sos 耐辐射蓝宝石上硅结构
radiationless transition 无辐射跃迁
radiative equilibrium 辐射平衡
radiative jump 辐射跃迁
radiative loss 辐射损耗
radiative recombination 辐射复合
radiative transition 辐射跃迁
radiative transition with emission of gamma quantum 量子辐射跃迁
radiator 辐射体
radical plasma etching 自由基等离子体腐蚀
radical reaction 自由基间反应
radio 无线电
radio altimeter 无线电测高计
radio beacon 无线电信标
radio beacon receiver 无线电信标接收机
radio beacon station 无线电信标台
radio beacon system 无线电信标系统
radio bearing 无线电方位
radio broadcasting 无线电广播
radio channel 无线电信道
radio communication 无线电通信
radio compass 无线电罗盘
radio control 无线电控制
radio control receiver 无线电控制信号接收机
radio deception 无线电诱感
radio duct 无线电波导
radio frequency 无线电频率
radio frequency accelerator 射频加速器
radio frequency amplifier 射频放大器
radio frequency beam 无线电射束
radio frequency capture 高频捕获
radio frequency choke 射频扼力
radio frequency discharge 高频放电
radio frequency glow discharge 射频辉光放电
radio frequency heating 射频加热
radio frequency holography 射频全息照相术
radio frequency intermodulation distortion 射频互掸真
radio frequency plasma etch 高频等离子体腐蚀
radio frequency pulse 高频脉冲
radio frequency sputtering 射频溅射
radio fuse 无线电信管
radio goniometer 无线电测角计
radio horizon 无线电地平线
radio interference 无线电干扰
radio jammer 无线电干扰发生器
radio jamming 无线电干扰
radio link 无线电中继线路;无线电通信线路
radio location 无线电定位
radio magnetic indicator 无线电磁指示器
radio mast 天线杆
radio noise 射频噪声
radio noise map 无线电噪声图
radio operator 无线电操姿员
radio phare 无线电信标
radio pulse 射电脉冲
radio receiver 无线接电收机
radio relay system 无线电中继制
radio set 无线电机
radio signal 无线电信号
radio star 电波星
radio station 无线电台
radio telecontrol 无线电遥控
radio telephone network 无线电话网
radio telephone system 无线电话系统
radio telescope 无线电望远镜
radio waves 无线电波
radio wind 无线电测风
radioastronomy 无线电天文学
radioatmosphere 无线电大气
radiobearer 无线电测向器
radiocommunication 无线电通信
radioecho 无线电回波
radioelectricity 无线电电气
radioeletronics 无线电电子学
radioengineering 无线电工程
radiogalaxy 射电银河系
radiogoniometer 无线电测向器
radiogoniometry 无线电方位测量法
radiogonioscope 无线电测向仪
radiogram 无线电报
radiolocation 无线电定位
radiometer 辐射计
radiometry 辐射度量学
radionavigation 无线电导航
radioopacity 辐射不透迷
radiophone 无线电话
radiophotography 无线电传真
radiophototelegraphy 无线电传真电报学
radioreceiving 无线电接收
radiosensitivity 辐射灵敏度
radiosonde 无线电探空气球
radiotelegram 无线电报
radiotelegraphy 无线电报
radiotelemetry 无线电遥测学
radiotelephone 无线电话
radiotelephony 无线电话
radiotransmission 无线电发射
radiovision 电视
radius of action 酌半径
radome 天线罩
rainbow generator 彩条信号发生器
rainbow test pattern 彩虹测试信号图
raised metallized area 隆起的金属化区
raised pad 隆起焊盘
ram 随机存取存储器
ram refresh 随机存取存储泣新
raman bands 喇曼光谱带
raman effect 喇曼效应
raman frequency conversion 喇曼变频
raman laser 喇曼激光器
raman line 喇曼谱线
raman spectrum 喇曼光谱
ramark 雷达信标
random access communication system 随机存取通信系统
random access memory storage 随机存取存储器
random access storage memory 随机存取存储器
random imperfection 不规则缺陷
random interconnecting 随机布线
random variable 随机变量
range 酌距离
range accuracy 测距精度
range and bearing discrimination 距离和方位鉴别力
range discrimination 距离鉴别力
range extension 范围的伸展
range finder 测距仪
range marks 距离标记
range measurement 距离测量
range resolution 距离分辨力
ranging 测距
rare gas 稀有气体
ras 随机存取存储器
raster 光栅
raster generator 光栅发生器
raster geometry 光栅几何学
raster linearity 光栅线性
raster pitch 光栅线间距
raster scan electron beam lithography 光栅扫描电子束光刻
raster scan electron beam system 光栅扫描电子束光刻系统
raster scanning 光栅扫描
rate grown junction 变速生长结
rate of cooling 冷却速度
rate of vapor deposition 汽相淀积速率
rated current 额定电流
rated level 额定记录电平
rated life 额定寿命
rating 额定值
ratio 比
ratio detector 比例检波器
raw silicon 原料硅
raw wafer 未加工的晶片
rawin 无线电测风
ray path 射线路径
ray trajectory 射线路径
rc coupling 阻容耦合
rc oscillator rc 振荡器
rcdtl 电阻电容二极管晶体管逻辑
re recording 转录
reach through region 穿通区
reach through window 穿通窗
reach throuth photodiode 穿透式光二极管
reactance 电抗
reactance modulator 电抗灯器
reactant 反应物
reaction between crystals 晶体间反应
reaction chamber 反应室
reaction coil 回授线圈
reaction coupling 回授耦合
reaction gas 反应气体
reactive attenuator 电抗衰减器
reactive etching 反应性腐蚀
reactive gas plasma 活性气体等离子体
reactive ion beam 活性离子束
reactive ion beam etch 活性离子束腐蚀
reactive ion beam oxidation 活性离子束氧化
reactive ion etch 活性离子腐蚀
reactive ion etch resistance 耐活性离子腐蚀性
reactive ion etch system 活性离子腐蚀系统
reactive post 电抗接线端子
reactive sputtering 反应溅射法
reactor 反应器
read diode 里德二极管
read only memory 只读存储器
read only storage 只读存储器
read restore cycle 读出恢复周期
read write cycle 读写周期
reading 读出
reading apparatus 读出设备
reading laser beam 读出激光束
readout 读出
readout laser 读出激光器
readout resistor 读出寄存器
real time 实时
real time detection 实时探测
real time fourier processing 实时傅里叶变换
real time hologram 实时全息照象
real time imagery 实时成像
real time processing 实时处理
realignment 重对准
rebecca 机上雷达试别装置
rebroadcasting 转播
recall 二次呼叫
receipt operation 信号交换
received current 输入电流
receiver 接收机
receiver amplifier cryoelectronic system 接收 放大低温电子学系统
receiver recovery time 接收机恢复时间
receiver response time 接收机响应时间
receiver transfer characteristic 接收机传输特性
receiving aerial 接收机天线
receiving antenna 接收机天线
receiving perforator 复凿孔机
receiving station 收信台
receiving terminal station 终端接收站
receptacle 插座
receptacle pin 插座脚
reception 接收
reception diagram 接收天线方向图
reception level 接收电平
recharging 再充电
reciprocating pump 往复泵
reciprocity 互易性
recirculator 再循环装置
reclamation 回收
recognition 识别
recombination 复合
recombination center 复合中心
recombination center concentration 复合中心浓度
recombination coefficient 复合系数
recombination current 复合电流
recombination laser 复合式激光器
recombination level 复合电平
recombination lifetime 复合寿命
recombination losses 复合损耗
recombination luminescence 复合发光
recombination radiation 复合辐射
recombination rate 复合速度
recombination region 复合区
recombination velocity 复合速度
reconditioning 重第
reconversion 再转换
record changer 自动换片器
record rate 写速率
record speed 写速率
recorded level 记录电平
recording 记录
recording amplifier 录音放大器记录放大器
recording center 录音中心站
recording chain 录音通路
recording density 记录密度
recording device 记录装置
recording head 记录头
recording lamp 记录灯
recording laser 记录激光器
recording level indicator 记录电平指示器
recording loss 记录损耗
recording medium 记录媒体
recording player 电唱机
recording telegraph 印码电报机
recording time constant 记录时间常数
recover time 恢复时间
recovery 恢复
recovery temperature 恢复温度
recovery time 愎复时间
recrystallization 再结晶
recrystallization annealing 再结晶退火
recrystallization centre 再结晶中心
recrystallization nucleus 再结晶核
recrystallization temperature 再结晶温度
recrystallization texture 再结晶组织
recrystrallized junction 再结晶结
rectangular pulse 矩形脉冲
rectangular scanning 矩形扫描
rectangular waveguide 矩形波导
rectifier 传感器
rectifier diode 整窿极管
rectifying barrier 整疗垒
rectifying contact 整劣触
rectifying diode 整窿极管
rectilinear scanning 直线扫描
recuperation 再生
recurrent pulses 周期脉冲
recycle 再循环
recycling 再循环
red adder 红色加法器
red beam 红色电子束
red convergence circuit 红会聚电路
red electron gun 红色电子枪
red gain control 红色增益第器
red light laser 红色激光器
red primary 红基色
red primary signal 红基色信号
red raster 红色光栅
red restoration 红色电平恢复
redistribution 重新分配
redox reaction 氧化还原反应
reduced admittance 归一化导纳
reduced mask printing 缩小掩模曝光
reduced momentum of a particle 换算粒子动量
reduced pressure chemical vapor deposition 减压化学汽相淀积
reduced pressure epitaxy 减压外延
reduced temperature epitaxy 低温外延
reduced velocity of a particle 换算粒子速度
reducer 还原剂
reducing agent 还原剂
reducing ambinent 还原性气氛
reducing atmosphere 还原性气氛
reducing electron beam projection system 缩小式电子束投影系统
reduction 减少
reduction aligner 收缩式投影曝光装置
reduction camera 缩小摄像机
reduction of linewidth 线宽收缩
reduction of noise 减噪
reduction reaction 还原反应
reduction step 图像缩小工序
reduction technique 图像缩小技术
redundance code 冗余码
redundancy 冗余
redundancy of information 信息冗余度
redundancy rate 冗余度
redundant code 多余电码
redundant element 冗余元件
redundant integrated circuit 备用集成电路
reference 基准电压源
reference black level 黑色信号基准电平
reference circuit 参考电路
reference color 参考色
reference diode 恒压二极管
reference edge 基准边
reference frequency 基准频率
reference generator 标准信号发生器
reference level 基准电平
reference line 基线
reference mark 基准标记
reference noise 基准噪声
reference oscillator 基准振荡器
reference phase 基准相位
reference potential 基准势
reference recording medium 基准记录介质
reference signal 参考信号
reference standard 参考标准
reference subcarrier 基准副载波
reference voltage 基准势
reference voltage source 基准电压源
reference wave 基准波
reference white level 白信号标准电平
refill 填充
refinement 精炼
refiner 精制机
reflectance 反射系数
reflected binary code 格雷编码
reflected light 反射光
reflected light holography 反射光全息照相术
reflected power 反射功率
reflected wave 反射波
reflecting capacity 反射能力
reflecting filter 反射式滤波器
reflecting layer 反射层
reflecting mirror 反射镜
reflection 反射
reflection coefficient 反射系数
reflection electrode 反射极
reflection factor 反射系数
reflection loss 反射损耗
reflection loss of light 光反射损耗
reflection phase shifter 反射式移相器
reflection type circulator 反射式环行器
reflection type polarizer 反射式偏振器
reflectionless filter 无反射滤波器
reflectionless transition 无反射跃迁
reflective photocathode 反射式光电阴极
reflectivity 反射能力
reflectometer 反射计
reflector 反射极
reflector space 反射空间
reflex amplification 来复放大
reflex circuit 来复电路
reflex klystron 反射速弟
reflexionless connection 无反射连接
reflow soldering 回粮接
reflowed step 回沥序
refracted light 折射光
refracted wave 折射波
refracting medium 折射媒质
refraction 折射
refraction loss 折射损耗
refraction of rays 光折射
refractive index 折射率
refractive index inhomogeneity 折射率不均匀性
refractive index modulation 折射率灯
refractive index profile 折射率分布图
refractory mask 耐热掩模
refractory material 耐热材料
refractory metal gate 耐熔金属栅
refractory metal paste 耐熔金属膏
refractory metallization 耐熔金属化
refractory mos 耐熔金属氧化物半导体
refresh 再生
refresh cycle 恢复周期
refresh signal 再生信号
refreshing circuit 再生电路
refrigerator 冷冻机
refringence 折射
regeneration 再生
regeneration circuit 再生电路
regeneration rate 再生率
regenerative 再生放大
regenerative amplification 再生放大
regenerative amplifier 再生放大器
regenerative circuit 再生电路
regenerative detector 再生式检波器
regenerative feedback 正反馈
regenerative loop 再生环路
regenerative repeater 再生中继器
regenerator 再生器
region 区
register 寄存器
register button 寄存拼钮
register driver 寄存讫动器
register finder 寄存选择器
register key 寄存拼钮
register reading 计数屏数
registered layers 迭置层
registration 重合
registration accuracy 对准精度
registration mark 对准标记
registration precision 对准精度
registration tolerance 重合公差
regrowth 再生长
regular error 系统错误
regular layout 有规则布局图
regular logic 正规逻辑
regular pattern 正规图样
regularity 规则性
regulation 第
regulator 蝶器第器
reinforcement 放大
reject chip marking 废片打标
rejection 抑制
rejection band 拒斥频带
rejection ratio 衰减率
rejector 带阻滤波器
rejector circuit 带除滤波歧路
relative bearing 相对方差
relative electric susceptibility 相对电极化率
relative error 相对误差
relative growth rate 相对生长速度
relative humidity 相对湿度
relative level 相对电平
relative refractive index 相对析射率
relative time delay 相对延时
relaxation length 阻尼长度
relaxation oscillator 张弛振荡器
relaxation time 张弛时间
relaxation transition 张弛过渡
relaxed registration 不严格对准
relaxed registration photolithography 不严格对准光刻
relay 中继
relay amplifier 中继放大器
relay broadcast 无线电转播
relay broadcast station 广播转播电台
relay channel 转播信号通道
relay interrupter 继电平断续器
relay station 中继站
relay television 中继电视
relay transmitter 中继发射机
release 释放
release relay 复旧继电器
releasing current 释放电流
reliability 可靠度
reliability engineering 可靠性工程
reliability estimate 可靠性鉴定
reliability evaluation 可靠性鉴定
reliability fundamentals 可靠性理论
reliability index 可靠性指标
reliability index system 可靠性指标系统
reliability prediction 可靠性预计
reliability test 可靠性试验
relief 起伏
remanence 剩磁
remelt junction 再结晶结
remote control 遥控
remote control module 遥控组件
remote cut off tube 遥截止管
remote handling device 远距离操装置
remote indicator 遥示器
remote measuring 遥测
remotely controlled station 遥控站
removal 除去
renewal 再生
reox 氧化物反向腐蚀
reoxidation 再氧化
repair 修理
repair capability 修理能力
repairability 可修性
repeat 中继
repeatability 可重复性
repeated call 重复呼叫
repeater 步进重复照相机;中继器
repeater bay 增音栖
repeater gain 增音砌益
repeater rack 增音栖
repeater satellite 中继卫星
repeater station 增音站
repeater transmitter 中继发射机
repeating coil 转电线圈
repeating installation 帮电装置
repeller 反射极
reperforator 复凿孔机
repetition rate 重复频率
repetitions patterns 重复图样
repetitive patterns 重复图样
replica 复制品
replicated image 复制图象
replication 复制
report transmission 通报传输
reproducibility 可重复性
reproducing 再生
reproducing amplifier 再生放大器
reproducing channel 重放通路
reproducing channel amplitude frequency response 重放通路振幅 频率响应特性
reproducing device 重放设备
reproducing head 重放磁头
reproducing loss 复制损耗
reproducing stylus tip 唱针
reproduction 再生
reproduction quality 重放质量
reprogrammable memory 可改编程序存储器
reprogrammable rom 可改编程序只读存储器
reprom 可改编程序只读存储器
repulsive force 排斥力
request signal 请求信号
reradiation 再辐射
rering signal 重复呼叫
rerun 重新运行
rescap 阻容组件
resel 分解元件
reserve 预备
reset 复位
reset condition 清除条件
reset pulse 复原脉冲
reset set flip flop r s 触发器
residence telephone 住宅电话
residual current 残余电流乘余电流
residual damage 残留损伤
residual error rate 残留误差率
residual free substrate 无残留物衬底
residual gas 残留气体
residual oxide 残留氧化物
residual photoconductance 残留光电导
residual pressure 剩余压力
residual signal 残留信号
resilient lead 弹性引线
resin 尸
resin cast component 尸密封元件
resin glass 有机玻璃
resin molded semiconductor device 尸浇注型半导体掐
resist 抗蚀剂
resist adhesion 光刻胶附着
resist coating 涂光刻胶
resist definition 光刻胶层图像形成
resist dispenser unit 光刻胶配量器
resist film 光刻胶膜
resist pattern 光致抗蚀图
resist patterning 光致抗蚀图形成
resist patterning step 光致抗蚀图形成工序
resist profile 光刻胶断面图
resist remover 去胶机
resist response 光刻胶灵敏度
resist scum 未显影光刻胶
resist sensitivity 光刻胶灵敏度
resist speed 光刻胶灵敏度
resist stripping 脱胶
resistance 强度
resistance amplifier 电阻耦合放大器
resistance capacitance coupling 电阻电容耦合
resistance capacitance network 阻容网络
resistance coupled amplifier 电阻耦合放大器
resistance coupling 电阻耦合
resistance link 电阻分路
resistance network 电阻网络
resistance soldering 电阻钎焊
resistance type heater 电阻式加热器
resistive bridge 电阻电桥
resistive element 电阻元件
resistive evaporator 电阻式蒸发器
resistive film 电阻膜
resistive insulated gate fet 电阻绝缘栅场效应晶体管
resistive layer 电阻层
resistive load 电阻性负载
resistive material 电阻材料
resistive network 电阻网络
resistive paste 电阻膏
resistive state 有阻力状态
resistivity 电阻率
resistivity gauge 电阻率计
resistivity gradient 电阻率梯度
resistivity meter 电阻率计
resistivity profile 电阻率分布
resistless etching 无光刻胶腐蚀
resistless lighography 无光刻胶蚀刻
resistless patterning 无光刻胶图像形成
resistor 电阻器
resistor body 电阻体
resistor capacitor diode transistor logic 电阻电容二极管晶体管逻辑
resistor chip 片状电阻
resistor coupled transistor logic 电阻耦合晶体管逻辑电路
resistor microelement 微型电阻元件
resistor network 电阻网络
resistor parasitics 寄生电阻
resistor pattern 电阻萍形
resistor stripe 电阻条
resistor tolerance 电阻容许偏差
resistor track 电阻条
resistor transistor logic 电阻晶体管逻辑
resistor trimming 电阻微调
resnatron 谐腔四极管
resolution 分辨能力
resolution capability 分辨能力
resolution element 分解元件
resolution enhancement 分辨力增强
resolution limit 分辨限度
resolution threshold 分辨率阈值
resolution wedge 清晰度楔
resolving power 分辨能力
resonance 共振
resonance acceleration 谐振加速
resonance accelerator 谐振加速器
resonance circuit 谐振电路
resonance frequency 谐振频率
resonance line 谐振谱线
resonance loss 谐振损耗
resonance oscillations 谐振振荡
resonant amplification 谐振放大
resonant cavity 空腔谐振器
resonant diaphragm 谐振膜片
resonant line 谐振线
resonant slot 谐振缝隙
resonant window 谐振窗
resonator 谐振器
resonator grid 共振皮
resonator losses 谐振起耗
resonator mode 谐振腔模
resonatorless laser 无谐振皮光器
respond 应答
responder 应答机
responder beacon 应答婆标
response 响应
response characteristic 响应特性
response curve 响应特性
response time 响应时间
responser 应答机
responsivity 响应度
restorability 恢复能力
restorable system 可恢复系统
ret 环形发射极晶体管
retainer 保持架
retardant 阻化剂
retardation 延迟
retardation value 延迟值
retarding electrode 制动电极
retarding field 减速电场
retarding potential technique 滞后电位技术
reticle 中间掩模
reticle alignment 中间掩模对准
reticle image 中间掩模图像
reticle mask 中间掩模
reticle masking 中间掩模掩蔽
reticle pattern 中间掩模图样
retrieval time 检吮间
return 归路
return circuit 归路
return ghost 逆程重影
return loss 反射损耗
return time 复原时间
return trace 回描
reverberation 残响
reverberation time 残响时间
reversal 反转
reverse battery metering 反向电菱量
reverse bias 反偏压
reverse biased current 反向偏置电流
reverse biased diode 反向偏压二极管
reverse biased heterojunction 反向偏置异质结
reverse biased isolation 反向偏置隔离
reverse biased junction 反向偏置结
reverse characteristic 反向特性
reverse conductance 反向导电性
reverse current 反向电流
reverse current metering 反向电菱量
reverse etching of oxide 氧化物反向腐蚀
reverse image 倒像
reverse mesa 反向台面结构
reverse resistance 反向电阻
reverse voltage 反向电压
reversibility 可逆性
reversible transition 可逆跃迁
reversion 反相
rework cassette 晶片再生用盒
rf induced plasma 射频放电感应等离子体
rf sputtering 射频溅射
rh 相对湿度
rheostat 变阻器
rhombic antenna 菱形天线
rhumbatron 空腔谐振器环妆共振器
ribbon 带
ribbon crystal 带状晶体
ribbon lead 带状引线
ribbon lightguide 带状光波导
ribe 活性离子束腐蚀
ridge waveguide 脊峰波导管
rie 活性离子腐蚀
rieke diagram 雷基图
rigfet 电阻绝缘栅场效应晶体管
right angle pumping 直角泵激
right scale integration 适当规模集成化
rigid transmission mask 硬透射掩模
rigidity 硬度
ring 环
ring carrier 环形支架
ring counter 环形计数器
ring discharge 环形放电
ring dye laser 环形染料激光器
ring emitter transistor 环形发射极晶体管
ring getter 环形吸气剂
ring laser 环形激光器
ring laser gyroscope 环形激光陀螺仪
ring modulator 环形灯器
ring network 环形网
ring oscillator 环形振荡器
ring resonator 环形谐振器
ring seal 环封
ringback 二次呼叫
ringer 电铃
ringing 振铃
ringing circuit 振铃电路
ringing current 振铃电流
ringing equipment 呼叫设备
ringing frequency 振铃频率
ringing key 振铃键
ringing number 呼叫号
ringing numbers counter tank 呼叫号计数存储器
ringing relay 呼叫继电器
ringing signal 呼叫信号
ringing trip relay 振铃切断继电器
rinse 冲洗
rinse water 冲洗水
rinser 冲洗器
rinser dryer system 冲洗 干燥系统
rinsing module 冲洗组件
ripple 脉动
ripple filter 脉动滤波器
rise time 上升时间
rising sun type magnetron 旭日式磁控管
rms noise 平方根噪声值
robot picker 机扑夹钳
robotic technology 机扑学
robotic transfer system 机扑传送系统
robotics 机扑学
rocket 火箭
rocket warning radar 火箭警戒雷达
rod 棒
roentgen radiation x 射线辐射
rom 只读存储器
roof aerial 室顶天线
roof antenna 室顶天线
room noise 室内噪声
room temperature laser 室温激光器
room temperature lifetime 室温寿命
roots pump 罗茨泵
rotary antenna 旋转天线
rotary attenuator 旋转式衰减器
rotary beam aerial 射束旋转天线
rotary beam antenna 射束旋转天线
rotary beam splitter mirror 旋转射束分离镜
rotary grinder 转台磨床
rotary line switch 旋转式寻线机
rotary phase shifter 旋转式移相器
rotary pump 旋转泵
rotary selector 旋转式选择器
rotary spark gap 旋转火花隙
rotary switch 旋转开关
rotating anode 旋转阳极
rotating anode tube 阳极转动的x射线管
rotating anode x ray tube 旋转阳极 x 射线管
rotating beacon 旋转信标
rotating joint 旋转连接
rotating radio beacon 旋转信标
rotation of polarization plane 偏振面旋转
rotation vibration spectrum 旋转振动光谱
rotational level 转动能级
rotational line 转动谱线
rotational population 旋转粒子数
rotational state excitation 旋转状态激发
rough surface 粗糙表面
rough vacuum 低真空
roughness 粗糙度
round trip 来回行程
round trip loss 往返损耗
round trip time 来回一次时间
route 定线
router 路径选择程序
routine lithgraphic resolution 常规光刻分辨力
routing 定线
routing character 发信路由指示器
routing indicator 发信路由指示器
routing layout 互连布图
routing pattern 互连图
routing program 路径选择程序
row 行
rtl 电阻晶体管逻辑
rubidium freqnency standard 铷频率标准
rubric 标题
ruby crystal 红宝石晶体
ruby laser 红宝石激光器
ruby rod 红宝石棒
rubylith 红宝石
rubylith artwork 红膜原图
rugged environment 恶劣的环境
rugged photomask 耐磨损光掩模
rule 规则
rule checking 布图规则检测
rumble 隆隆声
run 运行
run to run repeatability 连续运行重现性
run to run reproducibility 连续运行重现性
run to run variation 连续运行参数分散
rupture 破坏
rural automatic exchange 乡村自动电话局
rural party line 乡村电话合用线
rural telecommunication 乡村远距离通信
rural telephone network 乡村电话网络
ruthenium oxide system 氧化钌膏系统
rutherford backscattering spectroscopy 卢瑟福背散射光谱学
rutile 金红石
rutile resonator 金红石谐振器s d regions 源 漏区
s type characteristic s 型特性曲线
s/n ratio 随机杂波信杂比
safe area 画格
safety 安全性
safety factor 安全系数
sag 自对准栅
sagmos 自对准栅金属氧化物半导体
salicide 自对准多晶硅化物
sam 扫描式俄歇微型探针
samnos transistor 自对准金属氮化物氧化物半导体晶体管
sample 取样
sample hold circuit 取样 保持电路
sample wafer 样片
sampler 采样器
sampling 取样
sampling facility 采样器
sampling inspection 抽样检查
sampling oscilloscope 取样示波器
sandblaster 喷砂装置
sandblasting 喷砂
sandwich construction 夹层结构
sandwich structure 夹层结构
sandwiched film 多层薄膜
sapphire 蓝宝石
sapphire dielectric isolation 蓝宝石介质隔离
sapphire microprocessor 蓝宝石上硅结构微处理机
sasfet 自对准肖特基栅场效应晶体管
satellite 卫星
satellite assisted communication 人造卫星通信
satellite broadcasting 人造卫星广播
satellite communication 卫星通信
satellite communication antenna 卫星通信天线
satellite data transmission 卫星数据传输
satellite ground station 人造卫星地面站
satellite image 卫星传送图像
satellite link 卫星通信线路
satellite ranging 卫星测距
satellite relay 卫星中继器
satellite television 卫星电视
satellite tracking 卫星跟踪
satellite tracking station 卫星跟踪站
satellite transmission 卫星传送
saticon 塞蒂康视象管
sato process 自对准厚氧化膜工艺
saturable core 饱和铁心
saturated gain 饱和增益
saturated solution 饱和溶液
saturation 饱和
saturation characteristic 饱和特性
saturation current 饱和电流
saturation mode 饱和状态
saturation of the molecular transitions 分子跃迁饱和
saturation of the population inversion 反转粒子数饱和
saturation point 饱和点
saturation region 饱和区域
saturation resistance 饱和电阻
saturation voltage 饱和电压
saw 表面声波
saw amplifier 表面声波放大器
saw blade 锯齿
saw device 表面声波掐
saw tooth generator 锯齿波振荡器锯齿波发生器
saw tooth oscillator 锯齿波振荡器锯齿波发生器
saw tooth voltage 锯齿形电压
sawing 锯割
sawtooth current 锯齿波形电流
sawtooth generator 锯齿波发生器
sawtooth pulse 锯齿波脉冲
sb fet 肖特基势垒栅场效应晶体管
sbc 标准埋层集极
sbc technique 标准隐埋集电极技术
sbmos 肖特基势垒栅金属氧化物半导体
sc 半导体
scale 标度
scale factor 换算因数
scale of ten circuit 十进数计数器
scale of two circuit 二进制计数器
scaled down lithography 按比例缩小光刻技术
scaled geometry 按比例缩小的几何尺寸
scaled integrated circuit 按比例缩小的集成电路
scaled isoplanar 按比例缩小绝缘分离技术
scaled mos 按比例缩小的金属氧化物半导体
scaled process 按比列缩小集成电路工艺
scaled representation 比列图像显示
scaler 计数器
scaling 按比例缩小
scaling down 按比例缩小
scaling factor 换算因数
scaling law 比例缩小规则
scaling parameter 换算因数
scaling ratio 换算因数
scan 扫描
scan converter device 扫描变换掐
scan generator 扫描振荡器
scan linearity 扫描直线性
scanistor 固体扫描器
scanned picture 扫描图象
scanner 扫描器
scanning 扫描
scanning amplifier 扫描放大器
scanning auger microanalysis 扫描式俄歇微量分析
scanning auger microprobe 扫描式俄歇微型探针
scanning beam 扫描射束
scanning cycle 扫描周期
scanning device 扫描器
scanning direction 扫描方向
scanning electron beam 扫描电子束
scanning electron beam lithography 扫描式电子束刻蚀
scanning electron micrograph 扫描式电子显微照片
scanning electron microscope 扫描电子显微镜
scanning frequency 扫描频率
scanning generator 扫描振荡器
scanning laser acoustic microscope 扫描式激光声学显微镜
scanning ling 扫描线
scanning of laser directivity diagram 激光方向性图扫描
scanning pattern 扫描图形
scanning projection printing 扫描式投影曝光
scanning speed 扫描速度
scanning spot 扫描点
scanning stage 扫描级
scanning standard 扫描标准
scanning system 扫描方式
scanning transmission electron microscope 扫描透射电子显微镜
scanning tunneling microscope 扫描式隧道显微镜
scanning velocity 扫描速度
scanning voltage 扫描电压
scat 肖特基单元阵列技术
scatter propagation 散射传播
scattered light 散射光
scattered power 散射功率
scattered radiation 散射辐射
scattering 频散
scattering coefficient 散射系数
scattering cross section 散射截面
scattering loss 散射损耗
sccd 表面电荷耦合掐
schmitt trigger 施密特触发器
schot effect 散粒效应
schottky barrier 肖特基势垒
schottky barrier gate 肖特基势垒栅
schottky barrier gate fet 肖特基势垒栅场效应晶体管
schottky barrier mos 肖特基势垒栅金属氧化物半导体
schottky barrier photodiode 肖特基势垒光电二极管
schottky cell array technology 肖特基单元阵列技术
schottky clamp diode 肖特基钳位二极管
schottky clamped transistor 肖特基钳位晶体管
schottky defect 肖特基缺陷
schottky diode 肖特基势垒二极管
schottky diode fet 肖特基势垒栅场效应晶体管
schottky disorder 肖特基缺陷
schottky effect 肖特基效应
schottky electrode 肖特基势垒栅
schottky gate fet 肖特基势垒栅场效应晶体管
schottky gate metallization 肖特基栅金属化
schottky interface 肖特基界面
schottky noise 肖特基噪声
schottky transistor 肖特基晶体管
schottky transistor transistor logic 肖特基晶体管 晶体管逻辑
schottky ttl gate 肖特基晶体管晶体管逻辑门
schottky vacancy 肖特基缺陷
scintillation 闪烁
scintillation detector 闪烁检测器
scintillator 闪烁体
scope 酌域
score line 划线
scored slice 伤痕薄片
scr 可控硅整流
scrambler 倒频器
scratch 条痕
scratching noise 唱针噪声
screen 屏幕
screen burning 萤光屏烧毁
screen grid 帘栅极
screen grid current 帘栅极电流
screen grid voltage 帘栅极电压
screen mask 印网掩模
screen printable paste 丝网漏印用膏
screen printer 丝网漏印机
screen printing 网印
screen printing stencil 丝网漏印模板
screen size 筛号
screenable resist 丝网漏印用光刻胶
screened cable 屏蔽电缆
screened conductor 丝网印制导体
screened on resistor 丝网印制电阻器
screened pentode 高频五极管
screened solder mask 丝网印制钎焊掩模
screening 屏蔽
screening box 屏蔽箱
screening burn in 取样老炼
screening test 筛选检验
screw dislocation 螺旋位错
screw terminal 螺旋式接线柱
scribe line definition 划线图案形成
scribed line 划线
scriber 划线器
scribing 划线
scribing system 划线器
scrren grid tube 帘栅管
scrubber 洗涤器
scrubbing 洗涤
sct 表面电荷晶体管
scum 浮渣
sdfet 肖特基势垒栅场效应晶体管
sea clutter 海面杂乱回波
sea returns 海面反射信号
seal 密封
sealant 密封膏
sealed chamber 密封室
sealed diode 封装的二极管
sealed hybrid 封装的混合集成电路
sealed laser 密封激光器
sealer 密封器
sealing 密封
sealing compound 封口胶
sealing gas 密封气体
sealing glass 封接玻璃
sealing layer 密封层
sealing preform 密封用塑扭塑
sealing schedule 密封程序
seam welding 缝焊
search angle 探测角
search mode 搜私式
search radar 搜俗达
sebl 扫描式电子束刻蚀
secam system secam 制 彩色电视方式
secondary electron 二次电子
secondary electron emission 二次电子放射
secondary electron emitter 二次电子发射电极
secondary electron multiplier 二次电子倍增管
secondary electron yield 二次电子发射系数
secondary emission characteristic 二次放射特性
secondary emission rate 二次发射率
secondary ion mass spectrometry 次级离子质谱分析法
secondary radar 二次雷达
secondary radiation 二次辐射
secondary radiator 二次辐射器
secondary station 次站
secrecy transmission 保密传输
secret information 秘密信息
section 区
sector field mass spectrometer 扇形场质谱仪
sector scanning 扇形扫掠
see through photomask 透免掩模
seebeck effect 塞贝克效应
seed crystal 晶种
seeker 导引头
segmentation 分段
segregation 偏析
seignette salt 酒石酸钾钠
seignette sol 酒石酸钾钠
selection 选择
selection check 选择检验
selective absorber 选择吸收体
selective absorption 选择吸收
selective call 选择呼叫
selective color filter 选择滤色器
selective deposition 选择性沉积
selective diffusion 选择扩散
selective dip soldering 选择浸渍焊接
selective doping 选择掺杂
selective etchant 选择腐蚀剂
selective etching 选择腐蚀
selective fading 选择性衰落
selective interconnection 选择布线
selective jamming 选择性干扰
selective masking 选择屏蔽
selective oxidation process 选择氧化工艺
selective oxide etching 氧化物选择腐蚀
selective pumping 选择抽运
selective relay 选择继电器
selective removal 选择去除
selective signal 选择信号
selective transmittance 选择透射率
selectivity 选择性
selector 选择器
selector relay 选择继电器
selectron 选数管
selenium 硒
selenium cell 硒光电池
self aligned approach 自对准法
self aligned ccd 自对准电荷耦合掐
self aligned contact 自对准接触
self aligned electrode 自对准电极
self aligned gate 自对准栅
self aligned gate fet 自对准栅场效应晶体管
self aligned gate mos 自对准栅金属氧化物半导体
self aligned injector 自对准注入器
self aligned integraed circuit 自对准集成电路
self aligned photoresist process 自对准光刻工艺
self aligned polycide 自对准多晶硅化物
self aligned polysilicon process 自对准多晶硅工艺
self aligned schottky fet 自对准肖特基栅场效应晶体管
self aligned semiconductor device 自对准型半导体掐
self aligned thick oxide 自对准厚氧化膜
self alignment 自对准
self bias 自偏压
self checking integrated circuit 自检集成电路
self convergent yoke 自动会聚偏转线圈
self developing resist 自显影光刻胶
self diagnostics 自诊断学
self excitation 自激
self excitation conditions 自激条件
self excitation threshold 自激阈值
self excited oscillations 自激振荡
self excited sender 自激发射机
self focusing 自聚焦
self focusing beam 自聚焦束
self focusing light 自聚焦光
self focusing lightguide 自聚焦光波导
self focusing property 自聚焦能力
self focusing threshold 自聚焦阈值
self guidance 自制导
self heated thermistor 自热式热敏电阻
self homing 自制导
self impedance 固有阻抗
self induced transparency 自感应透明
self loading 自举电路
self maintained discharge 自持放电
self maintained emission 自持发射
self masking epitaxy 自掩蔽外延
self mode locking 自锁模
self oscillation 自激
self phasing 自动相位稳定
self recording 自动记录的
self registered gate 自对准栅
self regulation 自动控制
self repair 自动恢复
self restoring drop 自复吊牌
self scanning array 自扫描天线阵
self stabilization 自动稳定
self stabilization of phase 自动相位稳定
self supporting film 自保持薄膜
self sustaining emission 自持发射
self synchronization 自动同步
self terminating oxidation 自行终端氧化
self testing 自测
selfoc 自聚焦传光纤维
selfoc fiber 自动聚焦传光纤维
selfoc lightguide 自聚焦光波导
sem 扫描电子显微镜
sem micrograph 扫描电子显微镜照相术
semiadditive process 半添加法
semiautomatic exchange 半自动电话局
semiautomatic placer 半自动放置器
semiconducting glass 半导体玻璃
semiconductive body 半导体衬底
semiconductor 半导体
semiconductor area 半导体区;半导体芯片面积
semiconductor array 半导体阵列
semiconductor assembly 半导体组装
semiconductor billet 半导体晶锭
semiconductor blank 半导体衬底
semiconductor bolometer 半导体辐射热测量器
semiconductor chip 半导体芯片
semiconductor chip carrier 半导体芯片座
semiconductor circuit 半导体电路
semiconductor compound 半导体化合物
semiconductor compound gases 半导体化合物用气体
semiconductor crystal 半导体晶体
semiconductor device 半导体掐
semiconductor diode 半导体二极管
semiconductor display device 半导体显示掐
semiconductor doping 半导体掺杂
semiconductor electronics 半导体电子学
semiconductor encapsulant 半导体密封剂
semiconductor grade silicon 半导体级硅
semiconductor impurity analyzer 半导体杂质分析器
semiconductor industry 半导体工业
semiconductor ingot 半导体晶锭
semiconductor integrated circuit 半导体集成电路
semiconductor laser 半导体激光器
semiconductor laser diode 半导体激光二极管
semiconductor melt 半导体熔融
semiconductor memory 半导体存储器
semiconductor mesa 半导体台面
semiconductor microlithography 半导体显微光刻
semiconductor microprocessor 半导体微处理机
semiconductor noise 半导体噪声
semiconductor on sapphire device 蓝宝石上半导体掐
semiconductor pellet 半导体芯片
semiconductor production line 半导体生产线
semiconductor rectifier 半导体整流
semiconductor resistor 半导体电阻器
semiconductor rod 半导体晶锭
semiconductor slice 半导体薄片
semiconductor storage unit 半导体存储器
semiconductor substrate 半导体衬底
semiconductor switch 半导体开关
semiconductor wafer 半导体薄片
semicustom array 半定制阵列
semicustom chip 半定制芯片
semicustom integrated circuit 半定制集成电路
semicustom lsi 半定制大规模集成电路
semicustom masterslice 半定制母片
semicustom process 半定制集成电路工艺
semicustom route 半定制路由
semidestructive test 半破坏试验
semiduplex 半双工的
semiinsulating substrate 半绝缘衬底
semipermeable membrane 半渗透膜
semireflecting mirror 半反射镜
semirox 半埋入式氧化物
semiself maintained discharge 半自持放电
semitransmitting mirror 半透玫
semitransparent layer 半透勉
semitransparent mirror 半透玫
semitransparent photocathode 半透免电阴极
send 发射
sender 发信机
sending aerial 发射天线
sending antenna 发射天线
sending key 发送电键
sending receiving terminal station 收发终端站
sending station 发信局
sense aerial 辨向天线
sense amplifier 读出放大器
sense antenna 辨向天线
sense finder 无线电罗盘
sense line 读出线
sensibilisation 敏化
sensing unit 敏感元件
sensitive 灵敏的
sensitivity 灵敏度
sensitivity drift 灵敏度漂移
sensitivity limit 灵敏度极限
sensitivity of a pickup 拾音崎敏度
sensitivity test 灵敏度试验
sensitization 激活
sensitizer 激活剂
sensor 传感元件
sentinel 标志
separation 分隔
separation device 分隔装置
separation diffusion 分离扩散
separation filter 分隔滤波器
separation layer 分离层
sequece switch 序轮机
sequence calls 顺序呼叫
sequential color system 顺序彩色电视系统
sequential interlace 顺序隔行扫描
sequential raster 顺序扫描光栅
sequential scanning 顺序扫描
sequential signal 顺序信号
sequential test 顺序检验
sequential transmission 顺序传输
sequnce calling 顺序呼叫
serial transmission 串行传输
series connection 串联
series resonance 串联谐振
serigraphy 丝网漏印法
serrated pulse 顶部有切口的帧同步脉冲;锯齿脉冲
service 服务
service area 服务区
service band 公务波段
service bit 服务位
service call 业务呼叫
service digit 服务位
service life 使用寿命
service observing desk 服务观察台
service quality 服务质量
service telephone 公务电话
set 组
set noise 机内噪声
set point 蝶点
set pulse 置位脉冲
set value 蝶点
settling time 置位时间
setup 装置
setup time 建立时间
severe environment 恶劣环境
sfet 肖特基势垒栅场效应晶体管
sgt 硅栅晶体管
shading 发暗
shading adjustment 黑斑补偿
shading compensating signal 黑斑补偿信号
shading generator 黑斑补偿信号发生器
shading signal 寄生信号
shadow 阴影
shadow area 盲区
shadow effect 山地效应
shadow mask 障板
shadow mask type color picture tube 荫罩式彩色显象管
shadow region 盲区
shallow acceptor 浅受主
shallow chip structure 芯片浅结构
shallow diode 浅结二极管
shallow diode array 浅结二极管阵列
shallow donor 浅施主
shallow emitter 浅结发射极
shallow junction 浅结
shallow level 浅能级
shallow level impurity 浅能级杂质
shallow oxide 半埋入式氧化物
shallow trap 浅陷阱
shallow v groove 浅 v 型槽
shaped beam 定形射束
shaping network 整形网络
sharp edge 陡沿
sharp etching 陡沿腐蚀
sharp image 清晰图象
sharp junction 突变结
sharpness 清晰度
shear 切变
shear force 剪力
shear visual control 切变肉眼检查
sheath 管状屏极
sheet 膜
sheet antenna 平顶天线
sheet conductivity 薄层导电率
sheet resistance 薄层电阻
sheet resistivity 薄层电阻率
shelf life 搁置寿命
shell 壳
shell electron 轨道电子
shell with vacancy 未填满壳层
shield electrode 保护电极
shield grid 抑制栅
shielded cable 屏蔽电缆
shielded line 屏蔽线
shielding 屏蔽
shielding film 屏蔽膜
shift 位移
shift register 移位寄存器
ship radar 船用雷达
shipboard antenna 船用天线
shipboard communication system 船载通信系统
shock compression 冲还缩
shock excitation 冲护励
shock resistance 耐冲辉
shock velocity 激波速度
shock wave 中花
shock wave heating 激波加热
shock wave implosion 冲花内爆
shockley equation 肖克莱方程
shockley partial dislocation 肖克莱部分位错
shockley read hall recombination 肖克莱 里德 霍尔复合
shoran 肖兰
shore based radar 海岸雷达
short calling 快速呼叫
short channel fet 短沟道场效应晶体管
short circuit current 短路电流
short circuit flux 短路磁通
short circuiting 短路
short distance 短途
short distance scatter 近距散射
short duration light source 短时光源
short haul 短途
short lifetime 短寿命
short period superlattice 短周期超晶格
short range navigation 近程导航
short range navigation system 近程导航系统
short term drift 短时间漂移
short wave aerial 短波天线
short wave antenna 短波天线
short wave transmitter 短波发射机
shortening condenser 缩短电容器
shorting region 短路区
shot effect 散粒效应
shot noise 散粒噪声
shrinkage 收缩
shsic 超高速集成电路
shunt admittance 并联导纳
shutter 快门
si mesfet 硅 金属半导体场效应晶体管
sic 半导体集成电路
side circuit 实线线路
side frequency 边频
side lobe 旁瓣
side lobe level 旁瓣电平
side lock 边带锁定
side tone 侧音
sideband 边带
sideband suppression 边带抑制
sideetching 侧向腐蚀
sidetone 侧音
sidewall 侧壁
sidewall angle 侧壁腐蚀角
sidewall masking 侧壁掩蔽
sidewall oxide 侧壁氧化层
sideways diffusion 横向扩散
sideways etch 侧向腐蚀
sigfet 硅绝缘栅场效应晶体管
sign 符号
signal 信号
signal amplitude 信号幅度
signal channel 信道
signal code 信号电码
signal compression 信号压缩
signal detection 信号检测
signal duration 信号持续时间
signal electrode 信号电极
signal frequency 信号频率
signal identification 信号识别
signal level 信号电平
signal magnitude 信号幅度
signal mixture amplification 信号混合放大
signal normalization 信号规范化
signal plate 信号板
signal power 信号功率
signal processor 信号处理机
signal propagation velocity 信号传播速度
signal quantization 信号量子化
signal regeneration 信号再生
signal reshaping 信号再生
signal separator 信号分离器
signal source 信号源
signal spreading 信号扩展
signal standardization 信号标准化
signal structure 信号结构
signal threshold 信号阈
signal to jamming ratio 信噪比
signal to noise ratio 随机杂波信杂比
signal transmission speed 信号发送速率
signal velocity 信号传播速度
signal wave 信号波
signal wire 信号线
signaling rate 信号发送速率
signalling 发信号
signalling channel 信号通路
signalling equipment 信号设备
signalling system 传信系统
signalling test 发信试验
significance level 显著水平
sil lead frame 单列直插式引线框
silane cvd 硅烷化学汽相淀积
silane discharge 硅烷放电
silane pyrolysis 硅烷热解
silencer 消声器
silent pause 静止时间
silent period 静止时间
silent zone 石英灯
silica 二氧化硅
silicate glass 硅酸盐玻璃
silicide metallization 硅化物金属化
silicided drain 硅化物漏极
silicided source 硅化物源极
silicon 硅
silicon adhesive 硅粘合剂
silicon base 硅衬底
silicon body 硅衬底
silicon chip area 硅芯片面积
silicon compiler 硅版编辑器
silicon controlled rectifier 可控硅整流
silicon cratering 硅弹坑形成
silicon diode 硅二极管
silicon dioxide 二氧化硅
silicon dioxide etching 二氧化硅腐蚀
silicon dioxide masking 二氧化硅掩蔽
silicon doping 硅掺杂
silicon epitaxy 硅外延
silicon etch solution 硅腐蚀液
silicon etchant 硅腐蚀剂
silicon gate 硅栅
silicon gate mos process 硅栅金属氧化物半导体工艺
silicon gate self aligned junction isolated cmos 硅栅自对准结隔离互补金属氧化物半导体
silicon gate structure 硅栅结构
silicon gate technology 硅栅金属氧化物半导体工艺
silicon gate transistor 硅栅晶体管
silicon in insulator 绝缘体内硅结构
silicon in insulator technology 绝缘体内硅技术
silicon in sapphire 蓝宝石内硅结构
silicon ingot 硅锭
silicon insulated gate fet 硅绝缘栅场效应晶体管
silicon insulator structure 绝缘体上硅结构
silicon integrated circuit 硅集成电路
silicon layout 硅集成电路布图
silicon molecular beam epitaxy 硅分子束外延
silicon monooxide 一氧化硅
silicon nitride 氮化硅
silicon nitride mask 氮化硅掩膜
silicon nitride passivation 氮化硅钝化
silicon nitride substrate 氮化硅层衬底
silicon on dielectric 绝缘体上硅结构
silicon on insulated substrate 绝缘体上硅结构
silicon on insulator 绝缘体上硅结构
silicon on insulator material 绝缘体上硅结构材料
silicon on sapphire 蓝宝石上硅
silicon on sapphire integrated circuit 蓝宝石上硅集成电路
silicon on sapphire large scale integration 蓝宝石上硅大规模集成电路
silicon on sapphire ribbon 蓝宝石上硅带
silicon on spinel 尖晶石上硅
silicon oxide 氧化硅
silicon polycrystal 多晶硅
silicon rectifier 硅整流
silicon sapphire interface 硅 蓝宝石界面
silicon single crystal 硅单晶
silicon slice 硅片
silicon source gas 硅气体源
silicon transistor 硅晶体管
silicon vidicon 硅光导摄象管
silicon wafer engineering 硅片工程
silicone 硅
silicone compound 硅
silicone encapsulant 硅密封剂
silicone encapsulation 硅酮尸密封
silicone grease 硅脂
silicone resin 硅尸
silk screened circuit 丝网印制电路
silk screening 丝网漏印法
silox 氧化硅
silox pinhole 二氧化硅层针孔
silver 银
silver based package 银基管壳
silver ceramic adhesion 银 陶瓷粘附
silver filled adhesive 填银粘合剂
silver optical record 银光学记录
silver oxygen cesium photocathode 银氧铯光电阴极
simos 叠栅注入金属氧化物半导体
simplex circuit 单工电路
simplex system 单工制
simplex telegraphy 单工电报
simplex transmission 单工传输
simplex working 单工通报
sims 次级离子质谱分析法
simulation 仿真
simulation technique 模拟技术
simulator 模拟器
simultaneous broadcasting 辅联播
simultaneous out diffusion 同时向外扩散
simultaneous transmission 同时传输
singing 啸声
single acceleration 单加速
single aluminum memory cell 单层铝金属化式存储单元
single channel mos 单沟道金属氧化物半导体
single chip bubble 单芯片磁泡掐
single chip circuit 单片电路
single chip microprocessor 单片微处理器
single component 单组分
single cord 单塞绳
single crystal 单晶
single crystal device 单晶掐
single crystal film 单晶膜
single crystal growth 单晶生长
single crystal ingot 单晶锭
single crystal rod 单晶锭
single crystal semiconductor 单晶半导体
single crystal wire 单晶线
single current signalling 单哩报
single current system 单疗
single device mos gate 单金属氧化物半导体晶体管式门电路
single device well 隔离阱
single diffusion 单扩散
single dislocation 单个位错
single electron spectrum 单电子谱
single frequency laser 单频激光器
single frequency laser oscillation 单频激光振荡
single frequency lasing 单频激光振荡
single in line package 单列直插式组件
single injection 单注入
single ion implantation 单离子注入
single ionization 单电离
single layer metallization 单层金属化
single layer structure 单层结构
single level gate 单逻辑电平门电路
single mask method 单掩模法
single mode fiber 单模光纤
single mode film 单模式膜
single mode laser 单模激光器
single mode laser oscillation 单模激光振荡
single mode lasing 单模激光振荡
single mode lightguide 单模光波导
single pass loss 单程损耗
single pass system 单撂系统
single pattern photomask 单图式光掩模
single poly gate 单晶 多晶栅
single poly process 单一多晶工艺
single pulse 单脉冲
single pulse energy 单脉冲能
single pulse gain 单脉冲增益
single pulse mode 单脉冲模式
single shot blocking oscillator 单稳间歇振荡器
single shot trigger 单稳态触发器
single side band 单边带
single side band transmission 单边带传输
single sideband modulation 单边带灯
single sideband modulator 单边带灯器
single sideband suppressed carrier system 单边带抑制载波系统
single sideband transmission 单边带传输
single sideband transmitter 单边带发射机
single sided board 单面印板
single sided rack 单面机架
single stage image tube 单级显象管
single stub tuner 单短线党器
single sweep 单扫描
single transistor memory cell 单晶体管存储单元
single transition laser 单跃迁激光器
single transverse mode laser 单横向模式激光器
single wafer plasma system 单晶片处理式等离子装置
single wafer processing 单晶片处理
single wire line 单线线路
single wire transmission line 单线传输线
sink 散热器
sintered cathode 烧结阴极
sintering 烧结
sintering furnace 烧结炉
sio3capping 二氧化硅复盖层形成
sip 单列直插式组件
sip filter 单列直插式外壳滤波器
siphon recorder 波纹收报机
sis technology sis技术
sit 静电感应晶体管
site 位置
site aligner 步进重复对准器
site by site alignment 步进重复对准
size 尺寸
size control 尺寸控制
size effect 尺寸效应
size of grain 粒度
skew 变形;时滞
skiatron 记录暗迹的阴极射线管
skip distance 越距
skip zone 石英灯
sky radiance 天空亮度
sky wave 天波
slab 音节
slam 单层金属化
slant distance 斜距
slanted side 倾斜侧壁
slave 从属
slave lock 台从锁相
sleeve antenna 装在同轴管中的偶极天线
sleeve dipole 同轴偶极子
slice 片
slice architecture 位片结构
slice microprocessor 位片微处理机
slice processing sequence 晶片工艺步骤
slice resistivity 晶片电阻率
slice to slice reproducibility 薄片间重现性
slicer 切片机
slicer blade 切片机刀片
slicing 切片
slip 滑致
slit cathode 裂缝阴极
slit type shadow mask 缝隙式荫罩
sloped sidewall 倾斜侧壁
slot 槽
slot antenna 缝隙天线
slot quadrupole lens 缝隙式四极透镜
slot radiator 隙缝辐射器
slotted cylinder antenna 圆柱形缝隙天线
slotted line 开槽测试线
slotted measuring line 开缝测量线
slotted waveguide 开槽波导管
slow device 慢速掐
slow electron 慢电子
slow scan television system 慢扫描电视系统
slow wave structure 慢波结构
slug tuning 铁心党
slurry polishing 软膏抛光
sm 表面装配
sma 表面装配
small gap material 窄禁带材料
small outline package 小型外壳
small outline package integrated circuit 小块集成电路
small scale integrated circuit 小规模集成电路
small scale integration 小规模集成度
small scale integration level 小规模集成度
small signal impedance 小信号阻抗
small single gain 小信号增益
smaser 亚毫米波激射器
smectic liquid crystal 蝶状液晶
smoothing choke 平滑扼力
smoothing circuit 平滑电路
smoothing coil 平滑扼力
smoothing device 平滑装置
smoothing filter 平滑滤波器
smoothing resistor 平滑电阻
snap off diode 阶跃恢复二极管
snow 雪化干扰
snr 随机杂波信杂比
so 小型外壳
socket 插座
sodium 钠
soft contact aligner 软接触对准器
soft contact lithography 软接触式光刻
soft gamma 软射线
soft junction 软结
soft solder 软焊条
soft superconductor 软超导体
soft x rays 软 x 射线
software 软件
software controlled machine 软件控制装置
software driven microcomputer 程序控制微型计算机
software engineering 软件工程
soi 绝缘体上硅结构
soi integrated circuit 绝缘体上硅结构集成电路
soi process 绝缘体上硅技术
soi substrate 绝缘体上硅结构衬底
soi technology 绝缘体上硅技术
soic 小块集成电路
solar array 太阳能电池阵
solar blind photodetector 太阳隐蔽光探测器
solar cell 太阳电池
solar energy converter 太阳能转换器
solar noise 太阳噪声
solar powered telephone 太阳能电话
solar pumping 日光抽运
solar ultraviolet 太阳紫外线
solder 焊料
solder alloy 焊料合金
solder bond 焊接接缝
solder bump 焊料隆起焊盘
solder coater 焊料涂敷装置
solder cream 焊膏
solder evacuator device 焊料排出装置
solder fusing 焊料熔化
solder fusion system 焊料熔化装置
solder glass 焊料玻璃
solder joint 焊接接缝
solder mask 焊接掩模
solder pad 焊料隆起焊盘
solder paste 焊膏
solder sealer 焊封装置
solder sealing 焊封
soldering 焊接
soldering flux 助焊剂
soldering machine 焊接机
solderless bond 无焊连接
solderless joint 无焊连接
solenoidal magnetic field 螺线管磁场
solid body 固体
solid circuit 固态电路
solid phase 固相
solid phase epitaxy 固相外延
solid photoresist 固体光刻胶
solid solubility 固熔度
solid solution 固溶体
solid source 固体源
solid state 固态
solid state circuit 固态电路
solid state component 固体组件
solid state device 固态掐
solid state diffusion 固态扩散
solid state display 固体显示器
solid state electronics 固体电子学
solid state epitaxy 固相外延
solid state imager 固体成象器
solid state laser 固体激光器
solid state logic 固体逻辑
solid state physics 固体物理学
solid state reaction 固态反应
solid state switch 半导体开关
solid state technology 固体技术
solid surface 固体表面
solidification 凝固
solidus curve 固相线
solidus line 固相线
solidus temperature 固相线温度
solubility 可溶性
solubility temperature curve 溶解度 温度曲线
solute doping 溶液掺杂
solution 溶液
solvent 溶剂
solvent cleaning 溶剂清洗
solvent reclamation 溶剂再生
solvent recuperation 溶剂再生
sonar 声纳
sonic holography 声波全息术
sonohologram 声波全息照相
sonoholography 声波全息术
sop 选择氧化工艺
sorption 吸附
sorption pump 吸附泵
sorter 分类器分选机
sorting 分类
sos 蓝宝石上硅
sos approach 蓝宝石上硅技术
sos cmos 蓝宝石上硅互补金属氧化物半导体
sos cmos process 蓝宝石上硅互补金属氧化物半导体工艺
sos complementary metal oxide semiconductor 蓝宝石上硅互补金属氧化物半导体
sos island 蓝宝石上硅岛
sos isolation technique 蓝宝石上硅结构隔离技术
sos lsi 蓝宝石上硅大规模集成电路
sos structure 蓝宝石上硅结构
sos substrate 蓝宝石上硅结构衬底
sos transistor 蓝宝石上硅结构晶体管
sos wafer 蓝宝石上硅结构薄片
sosic 蓝宝石上硅集成电路
sound 声
sound carrier 伴音载波
sound channel 伴音信道
sound level 声级
sound pressure 声压
sound pressure level 声压级
sound radar 声波定位器
sound recording 录音
sound trap 声阱
sound volume 音量
sound wave 音波
source 源极
source channel junction 源 沟结
source current 源电流
source drain diode 源极 漏极二极管
source electrode 源极
source follower amplifier 源输出放大器
source of the disturbance 干扰源
source oven 源炉
source region 源区
source substrate junction 源极 衬底结
space 空间
space charge 空间电荷
space charge accumulation 空间电荷积累
space charge cloud 空间电荷云
space charge density 空间电荷密度
space charge effect 空间电荷效应
space charge field 空间电荷场
space charge grid 空间电荷栅极
space charge limited current 空间电荷限制电流
space charge limited diode 空间电荷限制二极管
space charge neutralization 空间电荷中和
space charge region 空间电荷区
space charge repulsion 空间电荷排斥
space charge zone 空间电荷区
space chip 宇宙芯片
space communication 宇宙通信
space current 空间电流
space factor 线圈间隙因数
space imagery 宇宙图象接收
space laser communications 激光宇宙通信
space navigation 宇宙导航
space station 宇宙站
space television 空间电视
space wave 空间波
spaced alignment 接近式对准
spacer 垫片
spacer layer 分隔层
spacing 间距;间隔
spacing current 间隔电流
spacistor 雪崩注入二极管
span 酌距离
spare circuit 备用电路
spare part 备件
spark 火花
spark coil 点火线圈
spark coil leak detector 火花检漏器
spark discharge 火花放电
spark gap 火花间隙
spark sender 火花发送机
spark telegraphy 火花电报
spark transmitter 火花发送机
sparker 点火线圈
spatial coherence 空间相干性
spatial resolution 空间分辨率
spatial resolving power 空间分辨能力
spatial switching 空间转换
spatially coherent light 空间相干光
spe 固相外延
speaking key 交谈电键
special effects 特殊效应
special effects amplifier 特殊效应放大器
specific conductance 电导率
specific conductivity 电导率
specific detectivity 比探测能力
specific emission 放射率
specific heat 比热
specific resistance 电阻率
specified life 规定寿命
specimen 标本
spectral band 光谱带
spectral characteristic 光谱特性
spectral line 光谱线
spectral line broadening 谱线增宽
spectral line profile 谱线分布图
spectral response 光谱灵敏度
spectral response characteristic 光谱响应特性
spectral responsivity 光谱响应度
spectral sensitivity 光谱灵敏度
spectral structure 谱线结构
spectrum 谱
spectrum analyzer 质谱分析仪
spectrum widening 光谱展宽
spectrum width 光谱宽度
speech channel 电话信道
speech chip 语言合成凭片
speech generator 语音发生器语言合成器
speech quality 声音品质
speech recognition 语言识别
speech signal 语言信号
speech synthesis 语言合成
speech synthesizer 语音发生器语言合成器
speech transmission 语言传送
speed 速率
speed calling 快速呼叫
speed characteristic 速度特性
speed flutuation 速度变动
speed gain 速度增益
speed of photoresponse 光响应速度
speed of response 响应速度
speed of transmission 传送速度
speed performance 速度特性
sphalerite 闪锌矿
spherical aberration 球面象差
spherical cavity 球形谐振腔
spherical mirror resonator 球面镜谐振腔
spherical reproducing stylus tip 球形唱针头
spherical wave 球面波
spider bonding technology 蛛网状焊接技术
spider lead 蛛网状引线
spider lead frame 蛛网状引线框
spin 旋涂
spin dry wafer 旋转干噪薄片
spin flip 自旋反转
spin flip conversion 自旋反转
spin flip laser 自旋反转激光器
spin flip raman laser 自旋转向喇曼激光器
spinner 旋涂器
spiral growth 螺旋形生长
spiral scanning 螺旋扫描
spiral sweep 螺旋形扫描
splash free evaporation 无溅射蒸发
splice 连接套管
split anode magnetron 分瓣阳极磁控管
split picture 分裂的图象
splitting 分裂
splitting key 切断按钮
splitting of energy levels 能级分裂
splitting of spectral lines 光谱线分裂
splitting system 分裂系统
spontaneous discharge 自发放电
spontaneous luminescence 自发发光
spontaneous mode locking 自发模锁定
spontaneous nucleation 自发成核
spontaneous radiation 自发辐射
spontaneous transition 自发跃迁
spontaneous transition probability 自发跃迁几率
spontaneous whisker growth 自发的须状晶体生长
sporadic e layer 分散e层
sporadic reflections 异常反射
spot 点
spot defect 点缺陷
spot jamming 定点干扰
spot size 黑子大小
spot soldering 点焊
spot welding laser machine 点焊用激光器
spray developer 喷射显影器
spray development 喷射显影
sprayed cathode 喷涂阴极
sprayed coating 喷敷层
spraying 喷涂
spread reflection 漫反射
spreading resistance 扩展电阻
spring 转移
spurious inductance 寄生电感
spurious modulation 寄生灯
spurious radiation 寄生辐射
spurious response 假信号
spurious signal 乱真信号
sputter cleaning 溅射清洗
sputter deposition 溅射淀积
sputter etching 溅射蚀刻
sputter gun 溅射用电子枪
sputter ion pump 离子溅射泵
sputter reactor 溅射反应器
sputtered film 喷镀薄膜
sputterer 溅射反应器
sputtering 溅射
sputtering chamber 溅射室
sputtering of metal 金属喷镀
sputtering source 溅射源
sputtering target 溅射靶
sputtering yield 溅射效率
square law capacitor 平方律可变电容器直线波长式可变电容器
square law condenser 平方律可变电容器直线波长式可变电容器
square law detection 平方律检波
square law detector 平方律检波器
square pulse 矩形脉冲
square silicon ingot 正方形截面硅晶锭
square topped pulse 矩形脉冲
square wave 矩形波
square wave generator 方波发生器
squaring circuit 方波整型电路
squeezable waveguide 可压缩波异管
squelch circuit 无噪声党电路
squid 超导量子干涉掐
squint 偏斜
squirrel cage magnetron 鼠笼式磁控管
sr 移位寄存器
sran 静态随机存取存储器
srd 阶跃恢复二极管
srg process 自对准栅工艺
ssc 固体电路
sse 固体电子学
ssi 标准规模集成化
ssic 标准规模集成电路
ssl 固体逻辑
sst 固体技术
ssu 半导体存储器
stability 稳定度
stability region 稳定区
stabilization 稳定
stabilized relativistic electron beam 稳定相对论的电子束
stabilizing annealing 稳定性退火
stabilotron 季荥
stable cavity 稳定谐振腔
stable equilibrium phase 稳定平衡相位
stable orbit 稳定轨道
stable orbit contraction 稳定轨道收缩
stable orbit expansion 稳定轨道扩展
stable resonator 稳定谐振器
stable state 稳态
stack 组套
stack antenna 叠排天线
stacked aerial 多层天线
stacked antenna 多层天线
stacked arrangement 多层结构
stacked complementary mos 叠栅互补金属氧化物半导体
stacked gate injection mos 叠栅注入金属氧化物半导体
stacking fault 堆垛层错
stage 级
stage of amplification 放大级
stage working area x y 平板工坐
staged diffusion technique 多重扩散技术
stagger tuned amplifier 参差党放大器
stagger tuning 串联党
staggered circuits 相互失谐级电路
staggering 参差党
staining technique 染色法
staircase generator 阶梯波发生器
staircase signal 阶梯信号
stalk 晶体管管座
stand 台
standard 标准
standard buried collector 标准埋层集极
standard cell circuit 标准单元电路
standard component 标准件
standard element 标准件
standard frequency station 标准频率电台
standard measuring signal 标准测试信号
standard pattern 标准图案
standard propagation 正常传播
standard pulse generator 标准脉冲发生器
standard scale integrated circuit 标准规模集成电路
standard scale integration 标准规模集成化
standard sync signal 标准同步信号
standard television signal 标准电视信号
standards conversion 标准变换
standby unit 备用部件
standing wave 驻波
standing wave aerial 驻波天线
standing wave antenna 驻波天线
standing wave indicator 驻波指示器
standing wave linear resonance accelerator 驻波线性谐振加速器
standing wave ratio 驻波比
star network 星状网
star shaped network 星状网
starlight viewer 夜视仪
start signal 起始信号
start stop system 起止式
start stop teleprinter 起止式电传打字机
starting pulse 触发发脉冲
state 状态
state density 态密度
state diagram 状态图
static burn in 静态老化
static cell 静态元件
static characteristic 静态特性
static charge 静电荷
static electricity 静电
static elimination 静电消除
static error 静态误差
static induction transistor 静电感应晶体管
static memory 静态存储器
static microphone 静电传声器
static random access memory 静态随机存取存储器
statics 天电
station to station call 局间呼叫
stationary error 静态误差
stationary state 稳态
stationary wave 驻波
statistical multiplexer 统计多路转换器统计复用器
status 状态
stcmos 叠栅互补金属氧化物半导体
steady state error 静态误差
steady state oscillations 稳态振荡
steady state value 稳态值
steam oxidation 蒸汽氧化
steerable antenna 方向性可控制的天线
steering circuit 控制电路
stem 晶体管管座
step 阶梯
step and repeat aligner 步进重复对准器
step and repeat camera 步进重复照相机
step and repeat equipment 步进重复装置
step and repeat exposure 步进重复曝光
step and repeat lens 步进重复照相机镜头
step and repeat lithography 步进重复光刻
step and repeat mask 步进重复曝光掩模
step and repeat mechanism 步进重复移位装置
step and repeat multiplication 步进重复倍增
step and repeat photography 步进重复照相术
step and repeat projection 步进重复投影
step attenuator 步进衰减器分压器
step by step aligner 步进重复对准器
step by step assembly 逐步组装
step by step exchange 步进式电话交换局
step coverage 阶梯覆盖
step forced response 阶扰响应
step function response 阶扰响应
step graded index lightguide 阶梯折射率剖面光波导
step growth 阶梯式生长
step index fiber 阶梯折射率纤维
step junction 突变结
step printing 步进式曝光
step recovery diode 阶跃恢复二极管
step response 阶扰响应
step transition 阶梯跃变
step tuning 阶梯式党
stepped oxide ccd 阶梯氧化物型电荷耦合掐
stepper aligner 步进重复对准器
stepper lithography 步进重复光刻
stereo amplifier 立体声放大器
stereo holography 立体全息照相术
stereo speaker system 立体声杨声频统
stereodecoder 立体声解码器
stereomicroscopy 立体显微镜
stereophonic modulation 立体声灯
stereophonic receiver 立体声收音机
stereophonic record 立体声唱片
stereophonic recording 立体声录音
stereophonic reproduction 立体声放音
stereophonic system 立体声系统
stereophonic transmission 立体声传输
stereophony 立体音响
stereoscopic image 立体象
stereoscopic television 立体电视
stereosound 立体声
sticking 残留影象
sticking coefficient 粘着系数
sticking probability 粘附几率
stillage 台
stimulated luminescence 受激发光
stimulated radiation 受激辐射
stimulated radiation threshold 受激辐射阈值
stimulated spin flip raman scattering 喇曼散射
stimulated spin flip scattering 受激自旋反转散射
stimulated transition 受激跃迁
stimulation 激励
stimulus 激励
stitch bonding 针脚式焊
stitching 缝合
stochastic acceleration 随机加速
stockes line 斯托克斯线
stoichiometric impurity 化学计量杂质
stoichiometric ratio 化学计量比
stop 停止
stop element 停止码元
stopper circuit 带除滤波器
stopping layer 停蚀层
stopping potential 遏止电位
storage 存储
storage box 贮藏箱
storage camera tube 积储式摄象管
storage capacitor 存储电容器
storage capacity 存储容量
storage cathode ray tube 存储式阴极射线管
storage cell 存储单元
storage density 存储密度
storage element 存储元素
storage life 存储寿命
storage medium 存储介质
storage mode 存贮方式
storage oscilloscope 记忆示波器
storage ring 存贮环
storage system 存储器存储系统
storage target 储荷靶
storage time 积累时间
storage tube 存储管
store 记亿设备
stored charge 积累电荷
stored energy 储能
stored program 内存程序
stored program control 存储程序控制
str 选通脉冲
straight line detection 线性检波
straight path 直线路径
straight receiver 高放式接收器
strain gauge 应变规
strainght line detector 线性检波器
strapping 多腔磁控管空腔间的异体耦合系统
stratosphere 平零
stratovision 在同温层转播的电视
stray capacitance 寄生电容
stray current 杂散电流
stray emission 杂散发射
stray inductance 杂散电感
stray radiation 杂散损射
streaking 图象拖尾
stream velocity 临
streamer discharge 菱式放电
street 芯片间隔
strength 强度
stress 应力
striation free coating 无条纹涂敷
striations 光条纹
striking current 起弧电流
strip 带
strip geometry heterostructure 带形异质结构
strip geometry laser 带状激光器
strip heater 带状加热器
strip lightguide 带状光波导
strip transistor 带型晶体管
stripe 带
stripline antenna 带状线天线
stripline circulator 带状线循环器带状线衰减器
stripline filter 带状线滤波器
stripline power divider 带状线功率分配器
stripline resonator 带状线谐振器
stripped atom 剥脱原子
stripper 去层器去膜剂
stripping 除去
stripping solution 去膜溶液
strobe 选通脉冲
strobe pulse 选通脉冲
strobing pulse 选通脉冲
stroboscopic effect 频闪效应
stroboscopic tube 频闪观测管
stroke 笔划
strong focusing 强聚焦
strong focusing principle 强聚焦原理
strong focusing synchrotron 强聚焦同步加速器
structural design 结构设计
structural efficiency 结构强度
structural imperfection 结构不完整性
structural strength 结构强度
structural test 结构试验
structure 结构
structure failure 结构故障
structure formation 结构形成
structure irregularity 结构不规则性
structure silicon on isolant 绝缘体上硅结构
structuring 结构化
sttl 肖特基晶体管 晶体管逻辑
stub antenna 短截线天线
stub tuner 短线党器
stud 电钮
studio 演播室
studio broadcast 播音室广播
studio equipment 播音室设备
stuffing 装载
stylus 尖笔
stylus drag 唱针阻力
subassembly 子配件
subaudio telegraphy 亚音频电报
subcarrier 副载波
subcarrier balance 副载波平衡
subcarrier frequency 副载频
subcarrier generator 副载波发生器
subcarrier regenerator 副载波再生器
subcarrier sideband 副载波边带
subchannel 分通道
subcooling 低温冷却
subdivided capacitor 电容箱
subframe 副帧
subharmonic 分谐波
sublattice 亚晶格
sublayer 底层
sublevel 次能级
sublimation pump 升华泵
submarine cable 海底电缆
submarine telegraphy 水底电缆电报
submerged aerial 水中天线
submicrometer processing 亚微米工艺
submicrometer resolution 亚微米分辨力
submicrometer structure 亚微米结构
submicron 亚微米
submicron channel width 亚微米沟道宽度
submicron conductor 亚微米导线
submicron film 亚微米膜
submicron integrated circuit 亚微米集成电路
submicron lithography 亚微米光刻
submicron mask aligner 亚微米掩模对准器
submicron patterning 亚微米图案形成
submicron scale mos device 亚微米尺寸金属氧化物半导体掐
submicron technology 亚微米技术
submillimeter laser 亚毫米激光器
submillimeter maser 亚毫米脉泽
subminiaturization 超小型化
subnanosecond device 亚毫微秒速度掐
subnanosecond performance 亚毫微秒工作
subnanosecond technology 亚毫微秒技术
subscriber 用户
subscriber behaviour 用户状态
subscriber device 用户电话机
subscriber line 用户线
subscriber loop 用户回线
subscriber number 用户号码
subscriber set 用户电话机
subscriber station 用户终端
subscriber telegraph device 用户电报装置
subscriber terminal 用户终端
subsequent pulses 脉冲串
subset 用户电话机
substandard propagation 亚标准传播
substation 分局
substitution 替代
substitution atom 替代原子
substitution defect 替代缺陷
substitution imperfetion 替代缺陷
substitution measurement 置换测量
substitution reaction 置换反应
substitutional diffusion 替代式扩散
substitutional impurity 替代式杂质
substrate 基片
substrate bias 衬底偏置
substrate contact region 衬底接触区
substrate cracking 衬底断裂
substrate dicing saw 衬底切割锯
substrate diffusion 衬底扩散
substrate film 衬底膜
substrate holder 衬底架
substrate impurity 衬底杂质
substrate layer 衬底层
substrate leakage 衬底漏电
substrate material 衬底材料
substrate parallelism 衬底平行度
substrate preparation 衬底预加工
substrate scriber 衬底划线器
substrate strength 衬底强度
substructure 底层结构
subsystem 子系统
successive transition 连续跃迁
suhl effect 苏尔效应
summator 加法电路
summing stage 加法级
sun pumped laser 日光泵激激光器
sun pumping 日光抽运
superabundance 冗余
superaudio 超音频的
superaudio telegraphy 超音频电报
supercell 超晶胞
superchip 高密度芯片
superclean room 超净室
superconducting electronics 超导电子学
superconducting element 超导元件
superconducting integrated circuit 超导集成电路
superconducting laser 超导激光器
superconducting layer 超导层
superconducting logic 超导逻辑
superconducting quantum interference device 超导量子干涉掐
superconducting resonator 超导谐振器
superconducting state 超导态
superconduction current 超导电流
superconductive layer 超导层
superconductive resonator 超导谐振器
superconductivity 超导性
superconductor 超导体
supercooling 过冷
supercurrent 超导电流
superdense memory 超密度存储器
superficial anneal 表面退火
supergain transistor 超增益晶体管
supergroup 超群
supergroup equipment 超群装置
superheterodyne 超外差
superheterodyne receiver 超外差式接收机
superhigh speed integrated circuit 超高速集成电路
supericonoscope 超光电析象管
superimposed images 重叠图象
superimposed layers 叠加层
superimposing 迭加
superisocon 分笼摄象管
superlarge scale integration 超大规模集成
superlattice 超点阵
superlow power schottky ttl 超低功率肖特基晶体管晶体管逻辑
superluminescent diode 超发光二极管
superorthicon 超正析象管
superposition 迭加
superradiant laser 超辐射激光器
superradiant transition 超辐射跃迁
superrefraction 超折射
superregeneration 超再生
superregenerative receiver 超再生式接收机
superregenerative reception 超再生接收
supersaturation coefficient 过饱和系数
supersonic 超声波的
superstructure 超点阵
supertelephonic telegraphy 超音频电报
superturnstile aerial 超绕杆式天线
superturnstile antenna 超绕杆式电视天线
supervacuum chamber 超高真空室
supervisor 监察员
supervisory lamp 监视灯
supervisory relay 监视继电器
supervisory signal 监视信号
supply line 馈电线
supply unit 电源部件
supply voltage 供给电压
support 载波
support chip 支片
support hardware 辅助设备
support lead 框架引线
support rod 载体棒
suppressed carrier 抑制载波
suppressed carrier operation 抑制载波工作
suppressed carrier transmission 载波抑制式传输
suppressed carrier transmitter 载波抑制式发射机
suppressed sideband 抑制边带
suppression 抑制
suppression of disturbance signal 干扰信号抑制
suppressor 抑制栅
suppressor grid 抑制栅极
surface 表面
surface acoustic wave 表面声波
surface acoustic wave filter 表面声波滤波器
surface acoustic waves 表面声波
surface barrier 表面势垒
surface barrier transistor 表面势垒晶体管
surface breakdown 表面哗
surface channel 表面沟道
surface channel ccd 表面沟道电荷耦合掐
surface charge coupled device 表面电荷耦合掐
surface charge transistor 表面电荷晶体管
surface density 表面密度
surface diffusion 表面扩散
surface dislocation 表面位错
surface doping 表面掺杂
surface duct 地面波导
surface field 表面电场
surface finish 表面光洁处理
surface grinding 表面研磨
surface guide e 地面波导
surface induction 表面感应
surface ionization 表面电离
surface irregularity 表面不均匀性
surface junction 表面结
surface layer 表面层
surface leakage 表面漏泄
surface migration 表面徙动
surface mobility 表面迁移率
surface mount assembler 表面装配器
surface mounted assembly 表面装配
surface mounted placer 表面装配器
surface mounting 表面装配
surface noise 表面噪声
surface of separation 界面
surface passivated transistor 表面钝化晶体管
surface passivation 表面钝化
surface photoeffect 表面光电效应
surface photovoltage 表面光电压
surface potential barrier 表面势垒
surface profile 表面轮廓
surface profiling 表面轮廓形成
surface recombination 表面复合
surface recombination rate 表面复合率
surface relief pattern 表面起伏图
surface resistivity 表面电阻率
surface resonator 表面波谐振器
surface roughness 表面粗糙度
surface state concentration 表面状态浓度
surface states 表面态
surface structure 表面结构
surface tension 表面张力
surface treatment 表面处理
surface wave 表面波
surface wave antenna 表面波天线
surface wave delay line 表面波延迟线
surface wave filter 表面波滤波器
surface wave guide 表面波波导
surface wide stripping 全表面脱胶
surge capability 过载能力
surge impedance 特件阻抗
surveillance radar 鉴视雷达;监视雷达
susceptance 电纳
susceptibility 磁化率
sustained oscillations 持续振荡
sweep 扫描
sweep amplifier 扫描放大器
sweep circuit 扫描电路
sweep frequency 扫描频率
sweep voltage 扫描电压
swelling 膨胀
switch 开关
switch hook 挂钩开关
switch martrix 开关矩阵
switch room 机键室
switchboard 交换机
switched line 交换线路
switching 转换
switching device 开关掐
switching diode 开关二极管
switching network 开关网络
switching node 转换节点
switching photodiode 开关光电二极管
switching system 开关系统
switching time 开关时间
switching transistor 开关晶体管
switching tube 开关管
syllable 音节
syllabric articulation 音节清晰度
symbolic logic 符号逻萝辑
symmetrical circulator 对称环行器
symmetrical clipper 对称熄器
symmetrical deflection 对称偏转
sync input 同步输入
sync output 同步输出
sync pulse 同步脉冲
sync pulse generator 同步脉冲发生器
sync pulse regeneration 同步脉冲再生
sync pulse regenerator 同步脉冲再生器
sync signal 同步信号
sync signal amplitude 同步信号振幅
sync signal compression 同步信号压缩
sync signal generator 同步信号发生器
sync signal level 同步信号电平
sync signal limiter 同步信号限幅器
synchrocyclotron 同步电子回旋加速器稳相加速器
synchrodyne reception 零拍接收
synchronism 同步
synchronization 同步
synchronization system 同步系统
synchronize 使同步
synchronizer 同步器同步装置
synchronizing 同步
synchronizing signal generator 同步信号振荡器
synchronous 同步的
synchronous compensator 同步补偿器同步掂机
synchronous detector 同步检波器
synchronous mixer 同步混合器同步混频器
synchronous recording 同步记录
synchronous satellite 同步卫星
synchronous scanning 同步扫描
synchronous transmission 同步传输
synchrophasotron 同步稳相加速器
synchropulse amplitude filter 同步脉冲振幅滤波器
synchropulse amplitude separation 同步脉冲振幅分离
synchroscope 同步示波器同步指示仪
synchrotron 同步加速器
synchrotron oscillations 同步加速岂荡
synchrotron radiation 同步辐射
synthesis 合成
synthesizer 合成器综合者
synthetic aperture antenna 合成孔径天线
syntony 党
system 系统
system bandwidth 系统带宽
system design 系统设计
system engineering 系统工程
system partitioning 系统划分
system performance criterion 系统性能判据
system technology 系统工程
systematic error 系统错误t antenna t 型天线
t bend t 形管
t circulator t 型环行器
t flip flop 启动型双稳态触发器
t junction t 形接合器t接头
t r switch 收发转换开关
t2l 晶体管晶体管逻辑
tab 带式自动焊接
tab bonder 带式自动焊接器
tab chip 带式自动焊接芯片
tab package 带式自动焊接用微型管壳
tab process 带式自动焊接工艺
tab processing 带式自动组装
table 表
table of frequency allocations 频带分配表
table set 台式接收机
table telephone 桌机
tacitron 噪声闸淋
tactile type sensor 解觉感受器
tail 引线
tail of the pulse 脉冲尾部
tailoring 蝶
talk back circuit 对讲电路
talk back equipment 对讲设备
talk key 通话电键
talking 通话
talking beacon 音响指示信标
talking key 通话电键
talking test 通话测试
tandem junction 串接结
tandem solar cell 串接太阳电池
tandem switching 中继交换台
tangential pickup arm 切向拾音欺
tangential wave path 切线波传播路径
tank 槽
tank capacity 振荡回路电容
tank circuit 振荡电路
tank crystallizer 槽式结晶器
tank inductance 振荡回路电感
tank region 槽区
tantalum 钽
tantalum nitride resistor 氮化钽膜电阻器
tantalum silicide connection 钽硅化物互连
tap 抽头
tape 带
tape automated assembly 带式自动组装
tape automated bonded leads 带式自动焊接引线
tape automated bonding 带式自动焊接
tape bonded hybrid 带焊接的混合集成电路
tape bumping 带式载体上隆起焊盘形成
tape chip carrier 带式芯片载体
tape component 带上安装的元件
tape curvature 磁带弯曲度
tape feeder 带式馈送器
tape guide 磁带导杆
tape mounted chip 带上安装的芯片
tape mounted component 带上安装的元件
tape recorder 磁带录音机
tape speed 带速
tape tension 磁带张力
tape transport 走带机构
taper 圆锥
taper isolated cell 锥形隔离的单元
tapered antenna 锥形天线
tapered coaxial junction 锥形同轴连接
tapered opening 锥形开口
tapered waveguide 锥形波导管
taping 缠绕
taping machine 捆带机
tapped resistor 抽头式电阻器
tapping 抽头
target 靶
target acquisition 目标截获
target area 靶面积
target detection 目标探测
target identification 目标识别
target identification beacon 目标识别信标
target image 目标影象
target information 目标情报
target irradiation 目标辐照
target noise 目标噪声
target observation 目标观测
target orientation 目标取向
target plume 靶火焰
target radiation 目标辐射
target recognition 目标识别
target reflection 目标反射
target selection 目标选择
target visibility 目标能见度
tariff 价目表
tariff indicator 资费指示器
tc 热电偶
tc bonding 热压焊接
tcc 电容温度系数
tcr 电阻温度系数
tdl 晶体管 二极管逻辑
tdm 时分多路传输
te 下降边
tea 转移电子放大器
technique 技术
technique of measurement 测量技术
technological laser 工艺用激光器
technological limits 工艺极限
technological parameters 工艺参数
technology 工艺
technotron 结型场效应管
ted 转移电子掐
teflon 聚四氟乙烯
teflon boat 聚四氟乙烯舟
teflon carrier 聚四氟乙烯盒
teleautograph 传真电报机
telecine 电视电影
telecommunication 远程通信
telecommunication cable 长途通信电缆
telecommunication equipment 电信设备
telecommunication facilities 电信设备
telecommunication network 电信网络
telecommunication system 远距离通信系统
telecommunications power supply 电信电源
telecommunications privacy 电信保密
telecommunications service 电信业务
teleconference 电话会议
teleconferencing 电话会议
telecontrol 遥控
telecopying 传真
teledata 电信数据
telefax 光传真
telefilm 电视片
telegraph 电报
telegraph alphabet 电报电码
telegraph apparatus 电报装置
telegraph channel 电报信道
telegraph circuit 电报电路
telegraph code 电报电码
telegraph exchange 电报交换机
telegraph modulation 电报灯
telegraph office 电报局
telegraph relay 电报继电器
telegraph repeater 电报帮电机
telegraph signal 电报信号
telegraph speed 发报速度
telegraph subscriber 电报用户
telegraph system 电报系统
telegraph text 电文
telegraph transmitter 电报发送机
telegraph wire 电报线
telegraphic keying 发报
telegraphy 电报
telemetry 遥测法
telephone 电话
telephone answering apparatus 电话应答器
telephone answering machine 电话应答器
telephone box 电话室
telephone cable 电话电缆
telephone call 电话呼叫
telephone channel 电话通道
telephone charge register 电话费用计数器
telephone circuit 电话电路
telephone communication 电话通信
telephone connection 用户终端
telephone cord 电话祈线
telephone device 电话装置
telephone dialer 电话拔号盘
telephone directory 电话号码表
telephone exchange 电话局
telephone exchange office 电话局
telephone line 电话线
telephone loop 电话用户线
telephone metering system 电话计数系统
telephone network 电话网络
telephone number memory device 电话号码存贮器
telephone operator 话务员
telephone operator apparatus 话务员装置
telephone plant 电话设备
telephone receiver 电话听筒
telephone relay 电话继电器
telephone repeater 电话中继器
telephone set 电话机
telephone signal 电话信号
telephone signalling 电话信号化
telephone station 电话局
telephone subscriber 电话用户
telephone subset 用户电话装置
telephone switchboard 电话交换台
telephone switching 电话交换
telephone switching device 电话交换装置
telephone switching equipment 电话交换装置
telephone system 电话系统
telephone telegram 话传电报
telephone traffic 话务
telephone transmitter 送话器
telephone voice recorder 电话活音记录器
telephone wire 电话线
telephony 电话学
telephotography 传真电报学
teleprinter 电传打印机
teleran 电视雷达导航
telescopic antenna 可伸缩天线
telescopic mast 伸缩天线杆
teleservice 电信业务
teletext 电视广播
teletype 电传打印机
television 电视机
television acoustics 电视伴音
television aerial 电视天线
television antenna 电视天线
television broadcast 电视广插
television broadcast station 电视广播台
television camera 电视摄象机
television car 电视车
television center 电视中心
television channel 电视频道
television chart 电视测试卡
television direct transmission 电视直播
television image 电视图象
television intercommunication network 电视对讲通信网
television interference 电视干扰
television line number 电视图象线数
television link 电视通信线路
television network 电视网
television picture tube 电视显象管
television projector 电视放映机
television receiver 电视接收机
television reception 电视接收
television relay system 电视中继系统
television screen 电视茧光屏
television set 电视接收机
television signal 电视信号
television signal level 电视信号电平
television standard 电视标准
television standard signal 电视标准信号
television studio 电视演播室
television system 电视制式
television transmitter 电视发射机
television tube 电视管
television waveform 电视信号波形
television waves 电视波
telewriter 传真电报机
telex 电传
telex exchange 用户电报交换机
telex service 拔号制用户电报业务
tellurium 碲
telvision 电视
tem 透射电子显微镜
tem micrograph 透射电子显微镜显微照片
tem mode tem 模
tem study 透射电子显微镜分析
temperature 温度
temperature aging 热老炼
temperature coefficient 温度系数
temperature coefficient of capacitance 电容温度系数
temperature coefficient of resistance 电阻温度系数
temperature compensated reference 温度补偿基准电压
temperature compensation 温度补偿
temperature cycling test 温度循环试验
temperature damage 热损伤
temperature delay 温度滞后
temperature difference 温差
temperature drift 温度漂移
temperature effect 温度效应
temperature equilibrium 温度平衡
temperature frequency coefficient 频率温度系数
temperature gradient 温度梯度
temperature gradient zone melting 温度梯度区熔
temperature humidity chamber 温湿室
temperature lag 温度滞后
temperature limit 温度界限
temperature sensor 温度传感器
temperature settability 温度设置精度
temperature stability 温度稳定性
temperature stabilization 温度稳定
temporary connection 暂时连接
tension 张力
termianl office 终端站
terminal 端子
terminal area 终端区域
terminal equipment 终端设备
terminal impedance 终端阻抗
terminal pad 连接盘
terminal pin 引线脚
terminal repeater 终端增音机
terminal station 终点站
terminating set 四线二线变设备
terminating station 终点站
ternary code 三进制代码
terrain following radar 地形显示雷达
terrestrial magnetic field 地磁场
terrestrial magnetism 地磁
terrestrial noise 地面噪声
terrestrial radiation 地面辐射
test 试验
test amplifier 测试放大器
test block 试块
test call 测试通话
test chamber 试验室
test chip 测试芯片
test equipment 测试设备
test frequency 试验频率
test gas 试验气体
test jack 测试塞孔
test key 测试键
test manufactured ic 试制的集成电路
test oscillator 测试振荡器
test pad 测试用焊点
test pattern 测试模式
test pattern generation 测试图案发生
test point 试验点
test probe 测试探针
test rack 试验台
test set 测试设备
test stand 试验台
test station 试验台
test structure 测试结构
testability 可检测性
tester 测试仪
testing 测试
testing battery 试验用电池
testing circuit 试验电路
testing device 试验设备
testing loop 测试回路
testing position 测试位置
testing system 试验系统
testing technique 试验技术
tetrode 四极管
tetrode etcher 四极管腐蚀装置
tetrode field effect transistor 四极场效应晶体管
textcommunication 文本通信
tfc 薄膜电路
tffet 薄膜场效应晶体管
tft 薄膜晶体管
tgzm 温度梯度区熔
thermal aging 热老炼
thermal analysis 热分析
thermal annealing 加温退火
thermal blooming 热晕
thermal breakdown 热哗
thermal chamber 热处理室
thermal conduction 热导
thermal cvd 热化学汽相淀积
thermal decomposition 热分解
thermal desorption 热解吸
thermal destruction 热破坏
thermal diffusion 热扩散
thermal dissociation 热离解
thermal equilibrium 热平衡
thermal etching 热蚀
thermal evaporation 热蒸发
thermal excitation 热激励
thermal expansion 热膨胀
thermal expansion coefficient 热膨胀系数
thermal image 热象
thermal imager 热成象器
thermal imagery 热成象
thermal insulation 热绝缘
thermal ionization 热电离
thermal loss 热耗
thermal microphone 热线传声器
thermal nitridation metal insulator semiconductor 热氮化式金属 绝缘体 半导体结构
thermal noise 热噪声
thermal oxidation 热氧化
thermal oxide growth 热氧化生长
thermal oxide layer 热氧化层
thermal photon detector 热光子探测器
thermal processing 热处理
thermal pulse bonding 脉冲热焊
thermal pumping 热抽运
thermal radiation 热辐射
thermal resistance 热阻
thermal runaway 热耗散
thermal shock chamber 热冲坏验箱
thermal stability 热稳定性
thermal stability factor 热稳定系数
thermal treatment 热处理
thermal tuning 热党
thermalized electron 热化电子
thermally grown film 热生长膜
thermionic 热电子的
thermionic cathode 热电子阴极
thermionic emission 热电子放射
thermionic energy converter 热电子换能器
thermionic field emission 场致热电子发射
thermionic tube 热阴极电子管
thermionic valve 热阴极电子管
thermionic valve detector 热电子管检波器
thermionic valve receiver 热电子接收机
thermionic valve transmitter 热电子管发射机
thermistor 热敏电阻
thermistor bolometer 热敏电阻辐射仪
thermistor mount 热敏电阴座
thermo ammeter 热电偶安培计
thermo electric converter 热电转换器
thermo electric detector 热电探测器
thermo galvanometer 热电检疗
thermoanalysis 热分析
thermocell 热电偶
thermocompression 热压焊
thermocompression ball bonding 热压球焊
thermocompression bird beak bonding 乌嘴式热压焊接
thermocompression bonded device 热压焊掐
thermocompression bonder 热压焊接机
thermocompression bonding 热压焊接
thermocompression tape automated bonded leads 热压带式自动焊接的引线
thermocouple 热电偶
thermocouple vacuum gauge 热电偶式真空计
thermocycling 高低温试验
thermodynamic equilibrium 热力平衡
thermoelectric couple 热电偶
thermoelectric effect 塞贝克效应
thermoelement 热电偶
thermojunction 热电偶接点
thermoluminescence 热激发光
thermomigration 热徙动
thermonuclear reaction 热核反应
thermoplastic coating 热塑涂敷
thermoplastic resin 热塑尸
thermosetting coating 热固涂敷
thermosetting resin 热固尸
thermosonic bonder 超声波热焊机
thermosonic bonding 超声波热焊
thermostat 恒温器恒温箱
thermotropic model 正压大气模式
theta alignment 角度对准
theta pinch pumping 方位角箍缩泵激
thick film chip 厚膜芯片
thick film circuit 厚膜电路
thick film conductor 厚膜导体
thick film firing 厚膜烧结
thick film formulation 厚膜成分
thick film hybrid 厚膜混合电路
thick film ink 厚膜浆料
thick film multilayer technology 多层厚膜技术
thick film multilevel substrate 多层厚膜衬底
thick film printer 厚膜网印装置
thick film resistor formula 厚膜电阻配方
thick film screening 厚膜丝网漏印
thick films 厚膜
thickness gauge 量隙规
thickness meter 厚度规
thickness of layer 层厚
thickness sorting 厚度分选
thickness/resistivity sorter 厚度 电阻率分选机
thin film chip 薄膜芯片
thin film circuit 薄膜电路
thin film cladding 薄膜涂敷
thin film component 薄膜无件
thin film conductor 薄膜导体
thin film definition 薄膜图象形成
thin film densification 薄膜致密化
thin film deposition 薄膜沉积
thin film device 薄膜掐
thin film diode 薄膜二极管
thin film evaporation 薄膜蒸发
thin film fet 薄膜场效应晶体管
thin film hybrid 薄膜混合电路
thin film interconnection 薄膜互连
thin film laser 薄膜激光器
thin film lightguide 薄膜光波导
thin film microcircuit 薄膜微型电路
thin film microelectronics 薄膜微电子学
thin film resistor ladder 薄膜电阻梯形电路
thin film sputtering 薄膜溅射
thin film structure 薄膜结构
thin film substrate 薄膜衬底
thin film superconductor 薄膜超导体
thin film technology 薄膜工艺学
thin film transistor 薄膜晶体管
thin films 薄膜
thin layer bolometer 薄膜辐射热计
thin section 薄剖面
thixotropic adhesive 触变粘合剂
thixotropic agent 触变剂
thixotropic filler 触变填料
thixotropic paste 触变膏
thoriated cathode 涂钍阴极
thoriated filament 敷钍灯丝
thrashing 超负荷
three cavity klystron 三腔速弟
three color kinescope 三色显象管
three diffusion integrated circuit 三重扩散集成电路
three dimensional image 三度象
three dimensional integrated circuit 三维集成电路
three dimensional profiling of optical fiber 光纤的三维成形
three dimensional wiring 三维布线
three element antenna 三元天线
three gun color picture tube 三枪彩色显象管
three level laser 三能级激光器
three mode laser 三模激光器
three pole 三极
threshold 阈值
threshold contrast 临阈对比度
threshold current 阈电流
threshold current density 阈电淋度
threshold detector 阈值检测器
threshold discriminator 阈鉴别器
threshold energy 阈能
threshold frequency 监界频率
threshold gain 阈增益
threshold inversion 阈反转
threshold level 阈值电平
threshold logic 阈逻辑
threshold of audibility 听阈
threshold of generation 发生阈
threshold of luminescence 发光阈
threshold of photoemission 光电放射阈
threshold of sensitivity 灵敏度阈值
threshold population 临界粒子数
threshold population inversion density 临界粒子数反转密度
threshold pumping 阈抽运
threshold reduction 阈值减低
threshold value 阈值
threshold vision 阈可见度
threshold voltage 阈电压
threshold wavelength 阈波长
throat microphone 喉头送话器喉式话筒
through metallized hole 金属化穿孔
throughput 吞吐量
throughput speed 生产能力
thulium vapor laser 铥蒸汽激光器
thunderstorm static 雷电干扰
thyratron 闸淋
thyristor 硅控整流可控硅
thyrite 砂砾特压敏电阻
thyrode 可控硅整流
ticker 断续装置
tie line 连结线
tie link 连结线
tie trunk 连结线
tiered structure 分层结构
tight geometry 缩小型几何尺寸
tight packing 高填密
tight registration tolerance 紧密对准公差
tight spacing 紧密间隔
tight tolerance 紧密度容限
tightly packed logic 高密度逻辑电路
tilt 倾斜
tilt angle 倾角
tilt angle implantation 倾角离子注入
tilt mixer 行畸变校正电路
tilted antenna 倾斜天线
timbre 音色
time bandwidth product 时间 带宽积
time base 扫描基线
time base circuit 时基电路
time base frequency 时墓频率
time base generator 时基振荡器扫描发生器
time base period 时基周期
time behavior 时间特性
time constant 时间常数
time delay 时间延迟
time discriminator 时间鉴别器鉴时器
time division 时间划分
time division exchange 时间分割交换局
time division multiplex 时分多路传输
time division multiplex line 时间分割多路复用线
time division multiplex system 时间分割多路复用系统
time division switching 时间分割制交换
time factor 时间因数
time lag 时间延迟
time lag of switching 开关时滞
time mark 时标
time multiplex 时分多路传输
time multiplex telephone exchange 时分多路电话交换机
time multiplexing 时分多路传输
time of discharge 放电时间
time of flight 渡越时间
time of propagation 传播时间
time of response 响应时间
time pulse generator 时标脉冲发生器时钟脉冲发生器定时器时间继电器
time resolution 时间分辨率
time response 时间响应
time sequence 时序
time signal 报时信号
time space optical modulator 时 空光学灯器
time standard 时间标准
time unit 时间单位
time varying element 时变元件
time varying inductance 时变电感
timeout 超时
timer 时标脉冲发生器时钟脉冲发生器定时器时间继电器
timing 同步
timing circuit 时标脉冲发生器时钟脉冲发生器定时器时间继电器
timing generator 时标脉冲发生器时钟脉冲发生器定时器时间继电器
timing pulse 定时脉冲
timing pulse generator 定时脉冲发生器时标脉冲发生器
timing sequence 时序
tin 锡
tin plating 镀锡
tinned ball 镀锡球
tinner shell 内壳层
tinning 镀锡
tip projection 极尖高出度
titanium 钛
titanium tungsten fuse 钛 钨保险丝
title 标题
tl 晶体管 晶体管逻辑
toggle 解发器反复电路
toggle switch 搬钮开关
tolerance 公差
tolerant chip 容许芯片
toll call 市外通话
toll centre 中央长途电话局
toll circuit 长途电路
toll communication 长途通信
toll connector 长途终接器
toll dialing 长途拔号
toll line bialing 长途拔号
toll network 长途通信网
toll operator 长途话务员
toll switchboarb 长途台
tomography 断层 x 射线照相法
tonal quality 音质
tone 音
tone control 音地制
tone filter 音频滤波器
tone generator 音频发生器
tool 工具
tooling 蝶工具
top 晶体管型外壳
top down design 自顶向下设计
top electrode 上电极
top loaded vertical antenna 顶部加载竖直天线
top of band 带顶
topography 地形学
topological layout 拓扑布图
toroid 环形线圈
toroidal coil 环形线圈
toroidal vacuum chamber 环形真空室
torque amplifier 转矩放大器
total absorption 总吸收
total amplification 总增益
total attenuation 总衰减
total distortion 总失真
total efficiency 总效率
total emission 全放离
total energy of a particle 粒子总能量
total internal reflection 全反射
total ionization 全电离
total load 总负载
total loss 全损耗
total mean free path 总平均自由程
total power input 总输入功率
total radiation 全辐射
total reflecting prism 全反射棱镜
total reflection 全反射
total reflection mirror 全反射镜
total reflector 全反射镜
total refraction 全折射
total resistance 总电阻
total responsivity 总灵敏度
totally reflective interference photocathode 全反射干涉式光电阴极
tote box 装箱
touch sensor 解觉感受器
touch tone telephone 按键式电话机
tower antenna 塔式天线
townsend coefficient 唐森系数
townsend discharge 汤森德放电
tr box 收发开关
tr dmos 隔离槽双扩散金属氧化物半导体
tr switch 收发转换开关
trace 痕迹
trace analysis 痕量分析
trace concentration 痕量浓度
trace impurity 痕量杂质
tracing distortion 跟踪畸变
track 磁道
track resolution 轨道分辨力
track search 路径探索
track while scan radar 跟踪搜死状秣
tracker device 跟踪装置
tracker station 跟踪站
tracking 跟踪
tracking accuracy 跟踪精度
tracking filter 跟踪滤波器
tracking network 跟踪网
tracking system 跟踪系统
traffic 话务量
traffic capacity 通话能力
traffic control 话务量控制
traffic distribution 交通分配
traffic load 话务负载
traffic measurement 话务量测量
traffic overflow 通信量过载
traffic overload 通信量过载
traffic throughput 通话能力
traffic unit 话务量单位
trailing 拖尾
trailing antenna 下垂天线
trailing edge 下降边
train of impulses 脉冲群
train of waves 波列
train radio system 铁路无线电系统
trajectory 轨迹
trajectory of the beam 射束轨迹
trajectory of the laser beam 激光束轨迹
transadmittance 互异纳
transceiver 收发机
transconductance 跨导
transdonor 反施主
transducer 传感器变换器;转换器
transfer 转移
transfer admittance 转移导纳
transfer characteristic 传输特性
transfer circuit 转移电路
transfer current 转位电流
transfer efficiency 转换效率
transfer function 传递函数
transfer gate 传输门
transfer impedance 转移阻抗
transfer molded plastic 传递模塑用塑料
transfer molding 传递模塑法
transfer molding press 传递模塑压床
transfer system 传输系统
transfer tray 转移托盘
transferred electron amplifier 转移电子放大器
transferred electron device 转移电子掐
transferred electron oscillator 转移电子振荡器
transformation 变换
transformation point 转变点
transformer amplifier 变压祁合放大器
transformer coupling 变压祁合
transforming section 变换段
transient 瞬变现象
transient analyzer 瞬变过程分析器暂态分析仪
transient impulse 瞬时脉冲
transient mode 过渡方式
transient period 过渡周期
transient pulse 瞬时脉冲
transient response 瞬态响应
transient state 过渡状态
transient time 过渡时间
transistor 晶体管
transistor action 晶体管酌
transistor amplifier 晶体管放大器
transistor arrangement 晶体管结构
transistor array 晶传管阵列
transistor chain 晶体管链路
transistor circuit 晶体管电路
transistor diode logic 晶体管 二极管逻辑
transistor equation 晶体管方程
transistor level simulation 晶体管级模拟
transistor microstructure 晶体管的微型结构
transistor oscillator 晶体管振荡器
transistor outline 晶体管轮廓
transistor outline package 晶体管型外壳
transistor resistor logic 晶体管 电阻逻辑
transistor source 晶体管源极
transistor transistor logic 晶体管 晶体管逻辑
transistor transistor logic/advanced schottky 改进型肖特基晶体管 晶体管逻辑
transit 渡越
transit angle 飞越角
transit exchange 转接局
transit phase angle 飞越角
transit switching 中继交换台
transit time 渡越时间
transit time correction 渡越时间校正
transit time diode 渡越时间二极管
transit time equalizer 渡越时间校正器
transit time negative resistance diode 渡越时间负阻二极管
transit time region 渡越时间区
transition 转移
transition energy 跃迁能
transition frequency 过渡频率
transition linewidth 跃迁谱线宽度
transition metal impurity 过渡金属杂质
transition point 转变点
transition probability 跃迁概率
transition radiation 跃迁辐射
transition state 过渡状态
transition time 过渡时间
transitron 负互异管
transitron oscillator 负跨导管振荡器
translaser 晶体管激光器
translating 变换
translator 译码器转发器
transmission 传输
transmission band 通频带
transmission channel 传输通路
transmission coefficient 透射系数
transmission curve 透射特性
transmission diagram 传输图
transmission electron microscope 透射电子显微镜
transmission holography 透射全息照相术
transmission level 传输电平
transmission line 传输线
transmission line filter 传输线滤波器
transmission loss 传输损耗
transmission matrix 传输矩阵
transmission of chrominance carrier 彩色载波传输
transmission path 传输路径
transmission range 传输范围
transmission speed 传输速度
transmission standards 传输标准
transmission system 传输系统
transmission time 传输时间
transmission type polarizer 透射型偏振器
transmission type secondary emitter 透射型二次电子发射极
transmissison characteristic 透射特性
transmit 传送
transmit receive switch 收发转换开关
transmittance 透射比
transmitted wave 透射波
transmitter 发射机
transmitting aerial 发射天线
transmitting antenna 发射天线
transmitting directing 发射方向
transmitting distortion 发信失真
transmitting equipment 发射设备
transmitting key 发报电键
transmitting line 发送电路
transmitting mirror 透射镜
transmitting station 发射台
transmitting system 传输系统
transmitting terminal 发射端
transmitting tube 发射管
transmutation doping 质变掺杂
transparency 透萌
transparent epoxy 透梅氧尸
transparent film 透谩膜
transparent layer 透勉
transparent plasma 透萌离子体
transparent region 透螟
transparent window 透冒
transparent zone 透螟
transponder 发射应答器
transport 输运
transport efficiency 转换效率
transport system 传输系统
transport tape 传输带
transportable amplifier 手提式放大器
transportable lunar radar 移动式月面雷达
transposition 换位
transversal filter 横向滤波器
transversal oscillation 横向振荡
transversal pumping 横向抽运
transversal stability 横向稳定性
transverse beam twt 横向波束行波管
transverse electric wave 横电波
transverse electromagnetic mode tem 模
transverse field tube 横场管
transverse focusing 横向聚焦
transverse magnetic wave 横磁波
transverse magnetization 横向磁化
transverse photoresistor 横向光敏电阻
transverse piezoeffect 横向压电效应
transverse recording 横向记录
transverse video tape recorder 横向磁迹磁带录象机
transverse wave 横波
trap 陷阱
trap center 俘获中心
trap circuit 陷波电路
trap impurity 俘获杂质
trapatt diode 俘越二极管
trapezium distortion 梯形失真
trapezoidal pulse 梯形脉冲
traping center 俘获中心
trapped carrier 被俘获的载劣
trapped plasma avalanche triggered transit mode 俘获等离子体雪崩触发渡越方式
trapping 俘获
trapping cross section 俘获截面
travelling field 行波场
travelling plane wave 平面行波
travelling wave 行波
travelling wave accelerator 行波加速器
travelling wave aerial 行波天线
travelling wave amplifier 行波放大器
travelling wave antenna 行波天线
travelling wave laser 行波激光器
travelling wave magnetron 行波磁控管
travelling wave maser 行波微波激射器行波量子放大器
travelling wave oscilloscope 行波示波器
travelling wave phototube 行波光电管
travelling wave resonator 行波谐振器
travelling wave tube 行波管
tray 托盘
treatment 处理
treatment of data 数据处理
treble cut 高音膝
treble loudspeaker 高音杨声器
tree damage 始状损伤
treffic distributor 话务分配器
trench 槽
trench double diffusion mos 隔离槽双扩散金属氧化物半导体
trench etching 槽腐蚀
trench isolated ic 槽隔离集成电路
trench isolation technology 槽型隔离技术
trench mask definition 槽腐蚀用掩模图象形成
tri mask integrated circuit 三掩模集成电路
triac 三端双向可控硅开关
trialkali photocathode 三碱性光电阴极
triangular noise 三角波噪声
triangular pulse 三角波脉冲
tributary channel 分通道
tributary station 次站
tricolour tube 彩色显象管
tricon radar system 三地面雷达站导航系统
tricrystal 三晶
trigatron 触发管
trigger 触发发脉冲
trigger action 触发酌
trigger circuit 触发电路
trigger flip flop 启动型双稳态触发器
trigger level 触发电平
trigger pulse 触发发脉冲
trigger relay 触发继电器
trigger tube 触发管
triggered spark gap 触发放电器触发火花隙
triggering 起动
triggering level 触发电平
triggering pulse 触发发脉冲
triggering time 触发时间
trigonal reflector antenna 三角反射旗线
trihedral reflector 三面反射镜
trim 修整
trim stability 蝶稳定性
trimic 三掩模集成电路
trimmer 蝶器
trimming 微调蝶
trimming resistive network 微电阻网路
trinitron 单枪三束彩色显象管
trinoscope 彩色电视接收装置
triode 三极管
triode etcher 三极管腐蚀装置
triode sputter 三极管溅射装置
triple 三重的
triple diffusion structure 三重扩散结构
triplet 三重态
triplet lifetime 三重态寿命
tripole antenna 三振子天线
trirotron 交叉场旋转电子连高频放大器
tristimulus values 三激励值
tritet oscillator 多谐晶体振荡器
tritium target 氚靶
trl 晶体管 电阻逻辑
trochotron 多电极转换电子管
tropopause 对零顶
troposphere 对零
tropospheric absorption 对零吸收
tropospheric duct 大气波导
tropospheric mode 对零式模
tropospheric scatter 对零散射
tropospheric wave 对零波
troposystem 对零散射通信系统
trouble 故障
trouble shooting 故障检修
true bearing 真方位
true bearing unit 真航向指示器
true image 实象
true line breadth 真线宽
true motion indicator 真运动指示器
trunk 连接线路
trunk cable 干线电缆
trunk call 长途呼叫
trunk circuit 中继电路
trunk communication 远距离通信
trunk connection 长途接续
trunk group 中继线群
trunk line 干线;中继线
trunk operator 市外话务圆
trunk switchboard 长途交换台
ttl 晶体管晶体管逻辑
ttl gate ttl 门
ttl/as 改进型肖特基晶体管 晶体管逻辑
tub 槽区
tube 管;党
tube drop 电子管电压降
tube electrometer 电子管式静电计
tube furnace 管状炉
tube noise 电子管噪声
tube tester 电子管试验器
tube voltage drop 电子管电压降
tubular 管状的
tubular capacitor 管状电容器
tumbler 搬钮开关
tunable cavity 可嫡腔谐振器
tunable filter 可邓波器
tunable jammer 可变频率干扰机
tunable laser 可党激光器
tunable magnetron 可党磁控管
tunable optical filter 可党滤光器
tuned amplifier 党放大器
tuned antenna 党的天线
tuned cavity 党的空腔
tuned dipole 党的偶极子
tuner 党器
tungsten 钨
tungsten cathode 钨丝阴极
tungsten evaporator 钨蒸发器
tungsten tipped probe 钨探针
tuning 党
tuning capacity 党电容
tuning circuit 党电路
tuning coil 党线圈
tuning condenser 党电容器
tuning control 党控制
tuning device 党装置
tuning fork oscillator 音叉振荡器
tuning fork resonator 音叉谐振器
tuning indicator 党指示器
tuning post 党杆
tuning range 党范围
tuning screw 党螺钉
tuning signal 党信号
tunnel 隧道
tunnel barrier 隧道结
tunnel breakdown 隧道哗
tunnel cryotron 隧道式冷子管
tunnel current 隧道电流
tunnel diode 隧道二极管
tunnel diode amplifier 隧道二极管放大器
tunnel diode oscillator 隧道二极管振荡器
tunnel diode trigger 隧道二极管触发器
tunnel effect 隧道效应
tunnel injection 隧道注入
tunnel junction 隧道结
tunneling 隧道效应
tunneling electron 隧道电子
tunneling jump 隧道结
turbomolecular pump 涡轮分子泵
turbulent flow 湍流
turn off time 断开时间
turn on switching time 接通时间
turn on time 接通时间
turnover frequency 交叉频率
turnstile antenna 绕杆式天线
turntable 转车台
tv 电视机
tv display system 电视显示系统
tweeter 高频扬声器
tweezer free handling 无挠操作
tweezer handling 真空挠操作
twelve channel system 十二频道系统
twin 双晶
twin antenna 成对天线
twin diode 双二极管
twin transistor logic 晶体管晶体管逻辑
twin tub process 双阱工艺
twinned crystal 双晶
twinning 形成双晶
twist effect 扭曲效应
twisted nematic liquid crystal cell 扭转向列液晶单元
twisted waveguide 扭型波导管
twisting of wires 捻线
two cavity klystron 双腔速弟
two chip modem 二芯片式灯解调
two condition cable code 二态电缆码
two dimensional array 二维阵列
two dimensional element 二维元件
two dimensional image 二维图象
two dimensional layout 二维布置
two electrode tube diode 工极管
two element antenna 二元天线
two frequency laser 双频率激光器
two layer interconnections 双层互连
two level laser 二能极激光器
two level maser 双能级脉泽
two level metallization 双层金属化
two mode laser 二模激光器
two motion selector 上升 旋转选择器
two phase 二相的
two photon absorption cross section 双光子吸收截面
two point measurement 二点测试法
two pole equivalent network 二端等效网络
two polysilicon approach 双层多晶硅法
two quadrant multiplier 二象限乘法器
two sided 双边的
two sided pcb 双面印制电路板
two step diffusion 双重扩散
two step etch 两步腐蚀
two step reduction 两步缩小
two way communication 双向通信
two way operation 双向操作双向工作
two way transmission 双向传输
two well process 双阱工艺
two wire aerial 二线天线
two wire antenna 二线天线
two wire line 二线制线路
two wire repeater 二线式增音机
twt 行波管
twystron 行波速弟
type i superconductor 第一类超导体
type ii superconductor 第二类超导体
type printing telegraph 打字电报机
type printing telegraphy 打字电报
type wheel 活字轮
typer 导电型测试仪
typewriter 打字机u shaped manometer u 形压力计
ubitron 波动射束注入器
uhf 特高频
uhf band pass filter 特高频带通滤波器
uhf converter 特高频变频器
uhf preamplifier stage 特高频前置放大器
uhf tuner 特高频党器
uhf vision transmitter 特高频图象发射机
uhsi 超高速集成电路
uhv 超高真空
ujt 单结型晶体管
ulg 通用逻辑门
ulsi 超大规模集成化
ultimate pressure 极限压力
ultimate resolution 极限分辨力
ultraaudion 超三极管
ultrabroad band light modulator 超宽频带光灯器
ultraclean area 超净区域
ultrafilter 超细过滤器
ultrafine geometry 超精细几何形状
ultrafine resolution 超精细分辨力
ultrahigh frequency 特高频
ultrahigh speed integration 超高速集成电路
ultrahigh vacuum 超高真空
ultrahigh vacuum evaporation 超高真空蒸发
ultralarge scale integration 超大规模集成化
ultralow backstreaming 超低漏泄
ultralow noise microwave cryoelectronic system 超低噪声微波低温电子学系统
ultrapure photoresist 超高纯度光刻胶
ultrapure water 超纯水
ultrashort laser pulse 超短激光脉冲
ultrashort light pulse 超短光脉冲
ultrashort wave transmitter 超短波发射机
ultrashort waves 超短波
ultrasonic bond 超声焊接
ultrasonic bonder 超声焊机
ultrasonic bonding 超声焊接
ultrasonic cleaner 超声清洗器
ultrasonic cleaning 超声清洗
ultrasonic etcher 超声腐蚀装置
ultrasonic frequency 超音频
ultrasonic holography 超声全息照相术
ultrasonic probe 超声波探针
ultrasonic seal 超声封接
ultrasonic soldering 超声焊
ultrasonic vapor degreaser 超声蒸汽去油机
ultrasonic wave 超声波
ultrasonic wedge bonding 超声楔焊
ultrasonic welder 超声焊接机
ultrasonic welding 超声焊接
ultrasonic wire bonding 超声波线焊
ultrasonics 超声学
ultrasound 超声
ultrasound delay line 超声延迟线
ultrathin membrane 超薄膜
ultratrace impurity 超痕量杂质
ultraudion 超三极管
ultraviolet exposure 紫外线曝光
ultraviolet filter 紫外线滤光器
ultraviolet laser 紫外激光器
ultraviolet lithography 紫外线光刻
ultraviolet ozone cleaning 紫外线 臭氧清洗
ultraviolet projection system 紫外投影装置
ultraviolet radiation 紫外线
ultraviolet resist 紫外线敏感光刻胶
ultraviolet spectral range 紫外光谱范围
ultraviolet spectrum 紫外光谱
ultraviolet sterilizer 紫外线杀菌器
ultraviolet transparency 紫外透迷
umbrella antenna 伞形天线
unattended processing 无人处理
unattended system 无人监视系统
unbalance 不平衡
unblanking circuit 正程增辉电路
uncased component 无封装元件
unclad optical fiber 无包壳光纤
uncollimated rays 非准直光束
uncompensated germanium 未补偿的锗
unconnected gate 独立门
uncontrolled multivibrator 非稳态多谐振荡器
uncooled photodetector 非冷却光电探测器
uncoupled lightguides 非耦合光波导
uncoupled mode 非耦合模式
undamped wave 无衰耗波
underbunching 群聚不足
undercoat 底层
undercooling 过冷
undercut 钻蚀
undercut profile 钻蚀断面图
underdevelopment 显影不足
underetch 钻蚀
underground antenna 地下天线
underground cable 地下电缆
underlayer 底层
undershoot 负尖峰
underwater antenna 水下天线
underwater television 水下电视
underwater visibility 水下可见度
undistorted image 无失真图象
undistorted picture 无失真图象
undistorted wave 无失真波
undoped region 无掺杂区
undulater 波绞机
undulating light 脉动光
undulation effect 波动效应
undulator 波纹机
undulatory motion 波动
uneven surface 粗糙表面
unevenness 粗糙度
unexcited level 未激能级
unfilled level 未满能级
unflatness 非平滑性
uniaxial anisotropy 单轴蛤异性
unidirectional aerial 单向天线
unidirectional antenna 单向天线
unidirectional microphone 单向传声器
unidirectional pulse 单向脉冲
unidirectional pulses 单向脉冲
unidirectional transmission 单向传输
uniform irradiation 均匀辐照
uniform lightguide 均匀光波导
uniform waveguide 均匀波导
uniformity 均匀性
uniformity of illumination 照鸣匀度
unijunction transistor 单结型晶体管
unilateral matching 单向匹配
unilateral optical track record 单向光学轨道记录
unimodal laser 单模激光器
unimolecular film 单分子膜
unimolecular layer 单分子层
unintelligibility 不清晰度
unipolar fet 单极场效应晶体管
unipolar integrated circuit 单极型集成电路
unipolar technology 单极工艺
unipolar transistor 单极晶体管
uniray 通用阵列
unit 单位
unit automatic exchange 内部自动电话交换机
unit call 通话单位
unit cell 单元
unit pulse signal 单位脉冲信号
unitary body 单块衬底
unity magnification projection unit 单位放大投影曝光装置
universal array 通用阵列
universal battery system 共电制
universal logic gate 通用逻辑门
universal receiver 交直两用接收机
universal synchronous asynchronous receiver transmitter 通用同步 异步收发机
universal transmission function 通用透射函数
unload 放电
unload cassette 卸载盒
unloaded antenna 无负载天线
unloaded pcb 无载印制电路板
unmasked region 无掩蔽区
unmodulated carrier 未灯载波
unmodulated light 未灯光
unpackaged chip 未封装芯片
unpolarized light 非偏振光
unpolarized luminescence 非偏振发光
unpolarized wave 非偏振波
unpopulated pcb 无载印制电路板
unrestorable system 一次使用系统
unsaturated gain 不饱和增益
unsharp spectral line 模糊谱线
unsoldering 焊开
unstable blocking oscillator 不稳定间歇振荡器
unstable cavity 不稳定谐振器
unstable circuit 非稳定电路
unstable equilibrium 不稳定平衡
unstable resonator 不稳定谐振器
unsteady system 不稳定系统
unsupported ribbon growth 无支护带式晶体生长
untriggered spark gap 非触发式火花隙
untrimmed accuracy 未蝶精度
untuned aerial 未党天线
untuned antenna 未党天线
up converter 上变频器向上变换器向上变频器增频变频器
up diffusion 再扩散
up integration 提高集成度
upconversion 增频变频
upconverter 上变频器增频变频器
updated version 更新方案
upper harmonics 高次谐波
upper laser level 上限激光电平
upper level lifetime 上能级寿命
upper sideband 上边带
upstream shift 逆向位移
upw 超纯水
uraser 紫外激光器
urgent call 加急电活
urgent telegram 紧急电报
usable sensitivity 可用灵敏度
usart 通用同步 异步收发机
useful power 有效功率
user 用户
user programmable logic 用户可编程序逻辑
utilization factor 利用率
uv 紫外线
uv degradation 紫外线退化
uv detector 紫外检测器
uv emulsion 紫外线光敏乳胶
uv photoemission spectroscopy 紫外线光电发射光谱学
uv projection printing 紫外线投影曝光
uv proximity printer 紫外线接近式曝光装置v antenna v 形天线
v cvd 真空化学汽相淀积
v gate fet v 型栅场效应晶体管
v groove v 型槽
v groove etch v 型槽腐蚀
v groove isolation v 型槽隔离
v groove isolation polycrystal backfill v 型隔离槽的多晶硅填充
v groove mos v 型槽金属氧化物半导体
v groove mos device v 槽型栅金属氧化物半导体掐
v groove mos transistor v 槽型栅金属氧化物半导体晶体管
v groove region v 型槽区
v groove silicon substrate v 型槽硅衬底
v notch v 型槽
v shaped recess v 型槽
v/i monitor 电压电霖性监视器
vacancy 空位
vacancy concentration 空位浓度
vacancy mobility 空位迁移率
vacant 黑的
vacant electron site 电子空位
vacant shell 空壳层
vacuum 真空
vacuum accessories 真空设备用附件
vacuum apparatus 真空装置
vacuum arc 真空电弧
vacuum bake 真空退火
vacuum bell jar 真空钟罩
vacuum breakdown 真空哗
vacuum chamber 真空室
vacuum chemical vapor deposition 真空化学汽相淀积
vacuum chuck 真空吸笔
vacuum chuck handler 真空吸笔装卸装置
vacuum coater 真空镀膜装置
vacuum contact printer 真空接触式曝光装置
vacuum cryostat 真空低温恒温器
vacuum crystallization 真空结晶
vacuum deposited circuit 真空沉积电路
vacuum deposited film 真空沉积膜
vacuum deposited layer 真空沉积层
vacuum deposition 真空淀积
vacuum deposition mask 真空沉积掩膜
vacuum deposition system 真空淀积系统
vacuum deposition technique 真空淀积技术
vacuum diffusion welding 真空扩散焊
vacuum dryer 真空干燥器真空烘箱
vacuum duct 真空管道
vacuum environment 真空环境
vacuum evaporated layer 真空蒸发层
vacuum evaporation 真空蒸发
vacuum factor 真空系数
vacuum float zone crystal 真空悬浮区熔晶体
vacuum fluorescent display 真空荧光显示器
vacuum furnace 真空炉
vacuum gage 真空计
vacuum grease 真空润滑脂
vacuum guide 真空导杆
vacuum hermetic contact 真空密封接点
vacuum integrated circuit 真空集成电路
vacuum integrity 真空完整性
vacuum lamp 真空白炽灯
vacuum leakproofness 真空密封性
vacuum lock 真空闸
vacuum manipulator 真空操纵器
vacuum melting 真空熔融
vacuum meter 真空计
vacuum monochromator 真空单色仪
vacuum nozzle 真空吸笔
vacuum oven 真空炉
vacuum phototube 真空光电管
vacuum pickup 真空吸笔
vacuum pickup transfer 真空吸头式转移
vacuum pipette 真空吸笔
vacuum pump 真空泵
vacuum pumping hardware 真空排气装置
vacuum pumping system 真空排气系统
vacuum purge system 真空清除系统
vacuum switch 真空开关
vacuum technique 真空技术
vacuum tester 真空检验器
vacuum tightness 真空密封度
vacuum trouble shooting 真空系统故障排除
vacuum tube 真空管
vacuum tube modulator 电子管灯器
vacuum tube oscillator 电子管振荡器
vacuum tube rectifier 电子管整流
vacuum tube voltmeter 电子管电压表
vacuum uv laser 真空紫外线激光器
vacuum valve 真空阀
vad 汽相轴向淀积
valence band 价带
valence electron 价电子
validation test 确认试验
valley 谷
value 值
value added network 增值网
valve 阀
valve amplifier 真空管放大器
valve detector 真空管检波器
valve generator 真空管振荡器
valve noise 电子管噪声
valve oscillator 电子管振荡器
valve receiver 真空管接收机
valve tester 电子管测试器
van 增值网
van de graaf accelerator 范德格拉夫加速器
vane magnetron 翼式磁控管
vapor 汽
vapor cleaner 蒸汽清洗器
vapor deposition 汽相淀积
vapor deposition system 汽相淀积系统
vapor growth apparatus 汽相生长装置
vapor growth epitaxy 汽相外延生长
vapor oxidation 蒸汽氧化
vapor oxidation coating 蒸汽氧化覆盖
vapor phase axial deposition 汽相轴向淀积
vapor phase deposition 汽相淀积
vapor phase diffusion 汽相扩散
vapor phase epitaxial growth 汽相外延生长
vapor phase epitaxy 汽相外延
vapor phase grown junction 汽相生长结
vapor phase reaction 汽相反应
vapor phase solder reflow 汽相焊料回流
vapor phase soldering 汽相焊接
vapor phase transport 汽相输运
vapor plating 汽相渗镀
vapor reaction method 汽相反应法
vapor source 蒸发器蒸汽源
vaporization 蒸发
vapox 蒸汽氧化
varactor 变容二极管
varactor tuned oscillator 变容二极管党振荡器
variable 变数
variable capacitor 可变电容器
variable density track record 可变密度声道记录
variable grid 变距栅网
variable mu tube 遥截止管
variable reflectivity mirror 可变反射率镜
variable resistance 可变电阻
variable resistor 可变电阻
variable shaped electron beam exposure system 可变电子束曝光装置
variable speed scanning 变速扫描
variable threshold logic 可变阈值逻辑
variable wiggler magnet 可变扭动子磁铁
variant 变体
variation in light intensity 光强度变化
variation in sound signal strength 声信号强度变化
variation of field strength 电场强度变化
varicap 变容二极管
variocoupler 可变耦合器
varistor 变阻器压敏电阻
vate isolation 蛤异性垂直腐蚀槽隔离
vc 可变电容器
vco 电压控制振荡器压控振荡器
vdmos 垂直双扩散金属氧化物半导体结构
vector scan electron beam lithography 矢量扫描电子束光刻
vector scan electron beam system 矢量扫描电子束装置
vectorial photoelectric effect 矢量光电效应
vectorscope 矢量示波器
velocity 速度
velocity band 速度范围
velocity dispersion 速度分散
velocity distribution 速度分布
velocity error 速度误差
velocity microphone 速率式话筒
velocity modulated tube 速弟
velocity modulation tube 速弟
velocity of crystallization 结晶速度
velocity of light 光速
velocity of propagation 传播速度
velocity of propagation of wave front 波前传播速度
velocity of wave 波速
velocity saturation 速度饱和
venetian blind dynode 软百叶帘电子倍增歧极
verifier 检孔机
vertical aerial 垂直天线
vertical antenna 垂直天线
vertical channel fet 垂直沟道场效应晶体管
vertical definition 垂直清晰度
vertical deflection 垂直偏转
vertical deflection oscillator 帧扫描振荡器
vertical doping profile 垂向掺杂分布图
vertical double diffused mos 垂直双扩散金属氧化物半导体结构
vertical effect 垂直效应
vertical etched edge profile 垂直腐蚀边界剖面图
vertical fet 垂直沟道场效应晶体管
vertical flow reactor 垂链应器
vertical frequency 半帧频
vertical hold control 帧同步蝶
vertical impurity profile 垂向掺杂分布图
vertical injection logic 垂直注入逻辑
vertical integration 垂直集成化
vertical junction cell 垂直结型元件
vertical junction fet 垂向结型场效应晶体管
vertical metallization 垂直金属化
vertical mos 垂直型金属氧化物半导体
vertical mos transistor 垂直型金属氧化物半导体晶体管
vertical polarization 垂直极化
vertical poly link 垂直多晶硅连杆
vertical recording 垂直录音
vertical recording angle 垂直记录角
vertical scaling 垂直按比列缩小
vertical sweep 垂直扫描
vertical synchronization 垂直同期
vertical tracking angle error 垂直循迹误差角
vertical transistor 纵向晶体管
vertically integrated structure 垂直集成结构
vertically polarized wave 垂直极化波
very fine line lithography 超细光刻
very high frequency 甚高频
very high performance integrated circuit 甚高性能集成电路
very high speed integrated circuit 超高速集成电路
very high speed logic 超高速逻辑
very large scale integration 超大规模集成化
very large scale integration logic vlsi逻辑
very low frequency antenna 甚低频天线
very low sound frequency 甚低音频率
very small outline package 甚小外形外壳
very thin technique 超薄技术
vestigial sideband 残留边带
vestigial sideband filter 残留边带滤波器
vestigial sideband transmission 残留边带传输
vfet 垂直沟道场效应晶体管
vge 汽相外延生长
vhf 甚高频
vhf antenna array 甚高频天线阵
vhf band 甚高频带
vhf bandpass filter 甚高频带通滤波器
vhf broadcasting 甚高频广播
vhf channel 甚高频频道
vhf preamplifier stage 甚高频前置放大器
vhf transmission 甚高频传输
vhf tuner 甚高频党器
vhpic 甚高性能集成电路
vhsic 超高速集成电路
vhsic program 超高速集成电路设计程序
via hole 通路孔
via opening 通路孔
vibrating capacitor 振动电容器
vibration fatigue 振动疲劳
vibration feeder 振动式送料器
vibration noise 振动噪声
vibration test 振动试验
vibration to rotation energy transfer 振动 旋转能量转移
vibrational excitation 振动激励
vibrational interchange 振动交换
vibrational level 振动能级
vibrational population 振动粒子数
vibrational quantum number 振动量子数
vibrational spectrum 振动谱
vibrational state 振荡态
vibrational transfer 振动能量传输
vibrational transition 振动跃迁
vibratory bowl 振动碗
video amplification 视频放大
video channel 视频信道
video conference 电视会议
video demodulator 视频解调
video detection 视频检波
video detector 视频检波器
video frequency 视频
video frequency band 视频频段
video frequency chrominance components 视频色度分量
video mapping 频谱扫描指示
video recorder 录像机
video signal 视频信号
video storage tube 视频存储管
video transmission 视频传输
video transmitter 视频发射机;图象发射机
videoamplifier 视频放大器
videocassette 录像带
videodata 可视数据
videodetector 视频检波器
videodisk 电视唱片
videography 单向传视
videohead 录象头
videohead assembly 录象磁头组件
videophone image 电视电话图象
videorecorder 录象机
videorecording 录象
videotape recorder 磁带录象机
videotelephone 电视电话
videotelephone communication 电视电话通信
videotex 单向传视
videotext 闭路电视报文
vidicon 光导摄象管
viewdata 可视数据
viewing angle 视角
viewport 视口
vil 垂直注入逻辑
vip v 型隔离槽的多晶硅填充
vip technique 多晶硅回填料 v 型绝缘技术
virgin material 纯净原材料
virtual anode 虚阴极
virtual cathode 虚阴极
virtual height 有效高度
virtual transition 虚跃迁
viscidity 粘性
viscometer 粘度计
viscosity 粘性
viscosity modifier 粘度第剂
visibility 能见度
visibility range 可见距离
visible image 可见象
visible laser 可见光激光器
visible light holography 可见光全息照相术
visible radiation 可见光辐射
vision 视力
vision system 视觉系统
visual gate 肉眼检测台
visual inspection 肉眼检查
visual part of the spectrum 可视光谱区
visual radio range 光学显示的无线电测向器
visual scanner 光扫描器
visualization 可视化
vitreous binder 玻璃质粘合剂
vitrification 玻璃化
vitrification temperature 玻璃化温度
vj fet 垂向结型场效应晶体管
vlsi 超大规模集成化
vlsi circuit 超大规模集成电路
vlsi grade gas vlsi级高纯度气体
vlsi level 超大规模集成度
vlsi memory vlsi 存储器
vmos v 型槽金属氧化物半导体
vmosfet v 槽型栅金属氧化物半导体晶体管
vmost v 槽型栅金属氧化物半导体晶体管
vocoder 声码器自动语音合成仪
voice coil 音圈
voice communication 电话通信
voice encryption device 话音编码器
voice frequency 音频
voice frequency dialing 语频拨号
voice frequency generator 音频发生器
voice frequency signal 音频信号
voice frequency system 话频制
voice frequency telegraphy 音频电报
voice quality 声音品质
voice synthesis unit 语言合成器
voice synthesizer 语言合成器
volatile memory 非永久性存储器
volatility 挥发性
volatilized getter 挥发性吸气剂
voltage 电压
voltage amplification factor 电压放大系数
voltage amplifier 电压放大器
voltage amplifying tube 电压放大管
voltage bias 偏压
voltage comparator integrated circuit 电压比较漂成电路
voltage controlled oscillator 电压控制振荡器压控振荡器
voltage current characteristic 电压 电霖性
voltage dependent resistor 电压敏感电阻
voltage divider 分压器
voltage doubling circuit 倍压电路
voltage drop 电压降
voltage feed 电压馈电
voltage feed dipole 电压馈电偶极子
voltage feedback 电压反馈
voltage frequency transducer 电压 频率变换器
voltage gain 电压增益
voltage limiter 电压限制器限压器
voltage multiplication 电压倍增
voltage multiplier 电压倍增器倍压器
voltage range 电压范围
voltage rating 额定电压
voltage reference tube 基准电压发生管
voltage regulator 倒器稳压器
voltage regulator tube 稳压管
voltage stability 电压稳定度
voltage stabilization 电压稳定
voltage stabilizer 电压稳定器稳压器
voltage standing wave ratio 电压驻波比
voltage threshold 阈值电压
volume 音量
volume control 音量控制
volume expansion 体膨胀
volume hologram 体积全息照象
volume indicator 音量计
volume ionization 体电离
volume ionization density 体电离密度
volume level 音量级
volume lifetime 体内寿命
volume limiter 音量限制器响度限制器
volume meter 音量计
volume recombination 体复合
volume recombination rate 体积复合率
volume regulator 音量第器
volume resistivity 体电阻率
vortex 旋涡
vortex current 涡旋电流
vortex field 旋涡场
vpe 汽相外延
vpe wafer 汽相外延薄片
vso 甚小外形外壳
vswr 电压驻波比
vswr meter 电压驻波比计
vtl 可变阈值逻辑
vtt 超薄技术w/l ratio 宽长比
wafer 薄片
wafer cutting 切片
wafer descumming 清除薄片上浮渣
wafer developer 晶片显影器
wafer dicing saw 切片锯
wafer distortion 晶片变形
wafer drying spinner 薄片干燥用离心机
wafer engraving 晶片刻蚀
wafer fabrication line 薄片制造线
wafer fabrication module 圆片制造用组件
wafer flat 薄片取向面
wafer flatness 薄片平面度
wafer gettering 晶片吸除
wafer handler 晶片装卸器
wafer handling 晶片传送
wafer handling module 晶片传送组件
wafer identification 晶片识别
wafer inspection microscope 晶片检查显微镜
wafer inspection station 硅片检验台
wafer inspector 晶片检查装置
wafer leveling 晶片平整
wafer lithography 晶片光刻
wafer loader 圆片输入器
wafer loading 圆片输入
wafer mapping 晶片图形布置
wafer marking 晶片打标
wafer measurig equipment 薄片测量设备
wafer nonflatness 薄片的非平面度
wafer patterning 薄片图案形成
wafer polishing machine 晶片抛光装置
wafer positioner 薄片定位器
wafer prealignment 薄片预对准
wafer printer 晶片光刻装置
wafer printing 晶片光刻
wafer prober 晶片检测仪
wafer processing equipment 薄片加工装置
wafer reading 薄片标记读出
wafer resistivity 薄片电阻率
wafer scale integrated circuit 圆片规模集成电路
wafer scale integration 圆片规模集成化
wafer scale memory 圆片规模存储器
wafer scanner 薄片扫描器
wafer scriber dicer 薄片的划 切装置
wafer scrubber 硅片擦洗机
wafer shipping box 薄片装运盒
wafer slicing 切片
wafer sort 片子分类
wafer spacing 薄片间距
wafer stepper lithography 薄片的步进式光刻
wafer stepper projection system 薄片的步进式投影曝光装置
wafer throughput rate 薄片处理速度
wafer to mask gap 薄片 掩模间隙
wafer to wafer repeatability 薄片间重现性
wafer topography 薄片构形
wafer transfer 晶片传送
wafer transfer system 晶片传送系统
wafer transport 晶片传送
wafer type component 圆片型元件
wafer typer 薄片导电型测量仪
wafer warpage 薄片弯曲
wafer yield 晶片成品率
wafering 切片
wafertrack 薄片环带
wafertrack line 硅片廉线
waiting system 等待系统
waiting time 等待时间
walkie lookie 便携式电视机
walkie talkie 对讲机
wall absorption 壁吸收
wall distortion 波导管壁失真
wall losses 期损失
wall telephone set 培机
wallman amplifier 阴地栅地级联放大器涡尔曼放大器
wan 广域网
wandering beam 徘徊射束
warm up time 预热时间
warning 警报
wash 清洗
wash tank 冲洗箱
wash tray 洗涤塔盘
washer 清洗机
washer liquid 洗液
water blast pump 水喷射泵
water circulation 水循环
water cooling 水冷
water filter 滤水器
water jacket 水套
water laser 水蒸汽激光器
water load 水负载
water of crystallization 结晶水
water purification system 水净化器
water recirculator 水再循环器
water soluble flux 水溶性焊剂
water tight packing 防水填料
water treatment technology 水净化技术
waterbaffle 水冷隔板
watertight housing 水密外壳
wats 宽域电话业务
wave 波
wave aerial 行波天线
wave analyzer 波形分析器
wave antenna 行波天线
wave band 频带
wave band filter 波带滤波器
wave changing switch 波长转换开关
wave cluter 海面杂乱回波
wave converter 波变换器
wave diffraction 波衍射
wave director 引向器
wave envelope 波包络线
wave equation 波动方程
wave filter 滤波器
wave front 波前
wave function 波函数
wave impedance 波阻抗
wave interference 波的干涉
wave mechanics 波动力学
wave normal 波法线
wave number 波数
wave packet 波群
wave propagation 波的传播
wave quenching 波动熄灭
wave range switch 波段开关
wave refraction 波折射
wave resistance 波阻
wave shape 波形
wave theory of light 光的波动理论
wave tilt 波前倾斜
wave train 波串
wave trap 陷波电路
wave velocity 波速
wavecrest 波峰
waveform 波形
waveform corrector 波形校正器
waveform distortion 波形失真
waveguide 波导
waveguide attenuator 波导衰减器
waveguide bend 波导弯头
waveguide bridge 波导电桥
waveguide circulator 波导循环器波导环行器
waveguide coaxial junction 波导管 同轴接头
waveguide dispersion 波导分散
waveguide filter 波导滤波器
waveguide gasket 波导垫圈
waveguide junction 波导接头
waveguide length 波导长度
waveguide lens 波导透镜
waveguide modulator 波导灯器
waveguide phase shifter 波导移相器
waveguide post 波导端子
waveguide radiator 波导辐射器
waveguide resonator 波导谐振器
waveguide section 波导管节
waveguide short 波导短路
waveguide shutter 波导开闭器
waveguide stub 波导短截
waveguide switch 波导转换开关
waveguide synchrotron 波导同步加速器
waveguide transformer 波导变换器
waveguide transition 波导管过渡
waveguide tuner 波导式党器
waveguide twist 波导扭转接头
waveguide wall 波导壁
wavelength 波长
wavelength constant 波长常数
wavelength division solar cell 波长分割太阳能电池
wavelength in vacuum 真空波长
wavelength of light 光波长
wavelength scale 波长刻度
wavelength stability 波长稳定度
wavelength standard 波长标准
wavelength table 波长图表
wavelength tuning 波长党
wavemeter 波长计
wavezone 波带
wax mounting 上蜡固定
weak doping 弱掺杂
weak focusing 弱聚焦
wear resistance 耐磨性
wear test 磨损试验
wedge 楔
wedge bonder 楔形键合装置
wedge bonding 楔形键合
weld joint 焊缝
welded contact 焊接接点
welded electronic circuit 焊接的电子电路
welded junction 焊口
welder 焊接机
welding head 焊头
welding zone 焊区
well 电位阱
well depth 阱深
well focused beam 良聚焦束
well implant 阱区离子注入
well region 阱区
wet chemical station 湿法化学处理台
wet development 湿法显影
wet etch processor 湿法腐蚀装置
wet etchant 液体腐蚀剂
wet etching 湿法腐蚀
wet hydrogen atmosphere 湿氢气氛
wet oxidation 湿法氧化
wet process 湿法
wet processing 湿法工艺
wettability 润湿性
wetting ability 润湿能力
wheatstone bridge 惠斯登 电桥
whip antenna 鞭状天线
whipping 缠绕
whisker 触须
whistlers 啸叫声
whistling atmospherics 啸叫声
white light 白光
white noise 白噪声
white noise generator 白噪声发生器
white room 清洁室
white room facilities 清洁室设备
white signal 白信号
whole wafer exposure 整片曝光
wide antenna 宽带天线
wide area network 广域网
wide area telephone service 宽域电话业务
wide band amplifier 宽带放大器
wide band dipole 宽频带偶极子
wide bandgap emitter 宽禁带发射极
wide bandgap semiconductor 宽禁带半导体
wideband amplification 宽带放大
wideband amplifier 宽带放大器
wideband dipole 宽带偶极子
wideband frequency modulation 宽带档
wideband noise 宽频带噪声
wideband oscillator 宽频带振荡器
wideband pumping 宽带抽运
wideband receiver 宽频带接收机
wideband reception 宽带接收
wideband signal 宽带信号
width 幅度
width to length ratio 宽长比
wien bridge oscillator 维杜式振荡器
wien's displacement law 维痘移定律
window 窗
window absorption 窗吸收
window construction 窗结构
window damage 窗损坏
window dropping 散布金属带
window transmission 窗透射
wiping 擦净
wire 导线
wire bond 丝焊
wire bondability 引线可焊性
wire bonded chip 线焊芯片
wire bonder 丝焊器
wire broadcasting 有线广播
wire cathode 丝阴极
wire chief's desk 测量长台
wire jumper 跳线
wire lead 引线
wire marking machine 线打号机
wire routing 线敷设
wire spacing 线间距离
wire squash 引线形变
wire stripping 剥线
wired and 线与
wired or logic 布线或门逻辑
wired or wired and logic 布线或 布线与逻辑
wireless 无线的
wireless microphone 无线活筒
wireless station 无线电台
wireless telephone 无线电话
wiring complexity 布线密度
wiring density 布线密度
wiring diagram 接线图
wiring error 布线误差
wiring multilayer film 多层布线膜
wiring pad 布线接点
wiring pattern 布线图
wiring substrate 布线衬底
within run variation 肝运转之间分散
wobble frequency 摇频
woofer 低音杨声器低音喇叭
word 字
work area 工坐
work chamber 工滓
work function 逸出功
work mask 工宗模
workability 可加工性
workholder 工件夹具
working characteristic 工棕性
working frequency 工椎率
working hours of failure shooting 故障拍摄工妆间
working hours of failure shooting and repair 故障拍摄和修理工妆间
working image size 加工图形尺寸
working memory 工祖储器内存储器
working range 操锥围
working temperatre 工茁度
working wave 工专
workstation 工拙
world communication 世界通信
world wide telephone network 世界性的电话网络
worst case condition 最坏条件
worst case design 最坏情况设计法
write 存入
write beam 记录射束
write driver 写入驱动器
write electron beam lithography 扫描式电子束光刻
write erase window 写入 抹去窗口
writer 记录器写入程序
writing 记录
writing laser 记录激光器
writing light beam 记录光束
writing rate 写速率
writing speed 写速率
wrong number 错号
wsi 圆片规模集成化
wwl 布线或 布线与逻辑
wye connection 星形连接
x alignment x 轴对准
x radiation x 射线辐射
x ray align and exposure equipment x 射线对准曝光装置
x ray chamber x 射线暗箱
x ray diffraction x 射线衍射
x ray dose x 射线剂量
x ray effect x 射线效应
x ray emission spectrum x 射线发射光谱
x ray examination x 射线检验
x ray exposure x 射线曝光
x ray hardness x 射线硬度
x ray image intensifier x 射线图象增强器
x ray imaging x 射线图象形成
x ray irradiation x 射线照射
x ray laser x 射线激光器
x ray lithography x 射线光刻
x ray mask x 射线掩模
x ray patterning x 射线图象形成
x ray photoelectron spectroscopy x 射线光电子能谱学
x ray printing x 射线光刻
x ray quantum x 射线量子
x ray radiation x 射线辐射
x ray resist x 射线光刻胶
x ray sensitivity x 射线灵敏度
x ray source x 射线源
x ray spectroscopy x 射线光谱学
x ray step and repeat printer x 射线步进重复晒片机
x ray stepper x 射线步进重复晒片机
x ray thickness gage x 射线测厚计
x ray topography x 射线局部厚层断层摄影法
x ray tube x 射线管
x ray visual control x 射线肉眼检查
x ray wafer analyzer x 射线薄片分析仪
x rays x 射线
x y array x y 阵列
x y location x y 定位
x y plotter x y 绘图仪
x y stage x y 座标台
x y z stage x y z 座标台
xenon 氙
xps x 射线光电子能谱学
xrt x 射线局部厚层断层摄影法
xuv 远紫外线
y antenna 对称馈电偶极子天线
yagi antenna 八木天线
yellow room 黄色室
yellow room equipment 黄色室设备
yellow room's step 黄色室工序
yield 成品率
yield curve 效率曲线
yield killer 成品率递减因子
yield map 成品率图
yield reduction 成品率降低
yig resonator 钇铁石榴石谐振器
yttrium aluminium garnet 钇铝石榴石
yttrium aluminium garnet laser 钇铝石榴石激光器
z alignment z 轴对准
z marker 区域指点标
zeeman effect 塞曼效应
zener breakdown 齐纳哗
zener diode 齐纳二极管
zener effect 然纳效应
zenith angle 天顶角
zeolite absorption pump 沸石吸附泵
zeolite trap 沸石陷阱
zero 零点
zero adjustment 零点蝶
zero beat 零拍
zero beat reception 零拍接收
zero bias 零偏压
zero charge 零电荷
zero defect mask 无缺陷掩模
zero defect silicon 无缺陷硅
zero deviation 零点误差
zero drain source overlap 源漏无重叠
zero drift 零位偏移
zero energy level 零能级
zero error 零点误差
zero level 零电平
zero offset 零点误差
zero output 零输出
zero passage 过零
zero point 零点
zero point adjustment 零点蝶
zero point correction 零点校正
zero point error 零点误差
zero point stability 零点稳定
zero signal 零信号
zero state 零状态
zeropower ram 零功率随机存取存储器
zig zag antenna 曲折天线
zigzag aerial 曲折天线
zigzag antenna 曲折天线
zigzag in line package 锯齿型插脚式管壳
zil package 锯齿型插脚式管壳
zinc 锌
zinc oxide nonlinear resistor 氧化锌非线性电阻
zip 锯齿型插脚式管壳
znr 氧化锌非线性电阻
zonal melting 区域熔融
zone 区
zone leveling 区域致匀
zone marker 区域指点标
zone melting 区域熔融
zone melting recrystallization 区域熔化再结晶
zone melting without crucible 无坩埚区域熔融
zone of opacity 不透螟域
zone of vision 能见区
zone overlapping 区域重叠
zone purification 区域提纯
zone refiner 区域熔炼器
zone refining 区域提纯